Rev Author Line No. Line
3091 miho 1 # Board: www.mlab.cz S3AN01A
2 # Device: XC3S50AN-4TQG144C
3 # Setting: Generate Programming File / Startup Options / Drive Done Pin High: yes
4  
5 # Main Clock (Embedded 100MHz board oscillator)
6 NET "CLK100MHz" LOC = P60 |IOSTANDARD = LVCMOS33;
7  
8 NET "CLK100MHz" TNM_NET = CLK100MHz;
9 TIMESPEC TS_CLK100MHz = PERIOD "CLK100MHz" 100 MHz HIGH 50%;
10  
11 # Enable suboptimal routing of CLK100MHz to DCM input
12 # (the CLK100MHz pin is across the whole chip realtive to DCM)
13 # PIN "DCM_SP_inst.CLKIN" CLOCK_DEDICATED_ROUTE = FALSE;
14  
15 # Place BUFGMUX at the appropriate position
16 NET "CLK100MHz" CLOCK_DEDICATED_ROUTE = FALSE;
17  
18 # SPI Flash Vendor Mode Select (for external SPI boot Flash)
19 NET "VS[0]" LOC = P45 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
20 NET "VS[1]" LOC = P44 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
21 NET "VS[2]" LOC = P43 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
22  
23 # DIP Switches (positive signals with pull-down)
24 NET "DIPSW[0]" LOC = P143 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
25 NET "DIPSW[1]" LOC = P142 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
26 NET "DIPSW[2]" LOC = P140 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
27 NET "DIPSW[3]" LOC = P139 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
28 NET "DIPSW[4]" LOC = P138 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
29 NET "DIPSW[5]" LOC = P135 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
30 NET "DIPSW[6]" LOC = P134 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
31 NET "DIPSW[7]" LOC = P132 |IOSTANDARD = LVCMOS33 |PULLDOWN = YES;
32  
33 # LED String (positive output signals)
34 NET "LED[0]" LOC = P64 |IOSTANDARD = LVCMOS33;
35 NET "LED[1]" LOC = P63 |IOSTANDARD = LVCMOS33;
36 NET "LED[2]" LOC = P51 |IOSTANDARD = LVCMOS33;
37 NET "LED[3]" LOC = P50 |IOSTANDARD = LVCMOS33;
38 NET "LED[4]" LOC = P49 |IOSTANDARD = LVCMOS33;
39 NET "LED[5]" LOC = P48 |IOSTANDARD = LVCMOS33;
40 NET "LED[6]" LOC = P47 |IOSTANDARD = LVCMOS33;
41 NET "LED[7]" LOC = P46 |IOSTANDARD = LVCMOS33;
42  
43 # LED Display Output Signals (negative, multiplexed) - Segments
44 NET "LD_SEG_n[0]" LOC = P15 |IOSTANDARD = LVCMOS33; # Segment A A
45 NET "LD_SEG_n[1]" LOC = P30 |IOSTANDARD = LVCMOS33; # Segment B -----
46 NET "LD_SEG_n[2]" LOC = P21 |IOSTANDARD = LVCMOS33; # Segment C F | | B
47 NET "LD_SEG_n[3]" LOC = P19 |IOSTANDARD = LVCMOS33; # Segment D | G |
48 NET "LD_SEG_n[4]" LOC = P18 |IOSTANDARD = LVCMOS33; # Segment E -----
49 NET "LD_SEG_n[5]" LOC = P16 |IOSTANDARD = LVCMOS33; # Segment F E | | C
50 NET "LD_SEG_n[6]" LOC = P24 |IOSTANDARD = LVCMOS33; # Segment G | D |
51 NET "LD_SEG_n[7]" LOC = P20 |IOSTANDARD = LVCMOS33; # Segment DP ----- DP
52  
53 # LED Display Output Signals (negative, multiplexed) - Common Anodas
54 NET "LD_CA_n[0]" LOC = P25 |IOSTANDARD = LVCMOS33;
55 NET "LD_CA_n[1]" LOC = P31 |IOSTANDARD = LVCMOS33;
56 NET "LD_CA_n[2]" LOC = P32 |IOSTANDARD = LVCMOS33;
57 NET "LD_CA_n[3]" LOC = P13 |IOSTANDARD = LVCMOS33; # For S3AN01A connect U1.13 with U1.33
58 NET "LD_CA_n[4]" LOC = P27 |IOSTANDARD = LVCMOS33;
59 NET "LD_CA_n[5]" LOC = P29 |IOSTANDARD = LVCMOS33;
60 NET "LD_CA_n[6]" LOC = P28 |IOSTANDARD = LVCMOS33;
61 NET "LD_CA_n[7]" LOC = P12 |IOSTANDARD = LVCMOS33; # For S3AN01A connect U1.12 with U1.35
62  
63 # Bank 1 Port (input for tests, pull-up)
64 NET "P[0]" LOC = P75 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
65 NET "P[1]" LOC = P76 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
66 NET "P[2]" LOC = P77 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
67 NET "P[3]" LOC = P78 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
68 NET "P[4]" LOC = P82 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
69 NET "P[5]" LOC = P83 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
70 NET "P[6]" LOC = P84 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
71 NET "P[7]" LOC = P85 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
72 NET "P[8]" LOC = P87 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
73 NET "P[9]" LOC = P88 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
74 NET "P[10]" LOC = P90 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
75 NET "P[11]" LOC = P91 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
76 NET "P[12]" LOC = P92 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
77 NET "P[13]" LOC = P93 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
78 NET "P[14]" LOC = P96 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
79 NET "P[15]" LOC = P98 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
80 NET "P[16]" LOC = P99 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
81 NET "P[17]" LOC = P101 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
82 NET "P[18]" LOC = P102 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
83 NET "P[19]" LOC = P103 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
84 NET "P[20]" LOC = P104 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
85 NET "P[21]" LOC = P105 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
86 NET "P[22]" LOC = P79 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
87 NET "P[23]" LOC = P80 |IOSTANDARD = LVCMOS33 |PULLUP = YES;
88  
89 # Diferencial Signals on 4 pin header (J7)
90 NET "DIF1P" LOC = P110 |IOSTANDARD = LVDS_33;
91 NET "DIF1N" LOC = P111 |IOSTANDARD = LVDS_33;
92 NET "DIF2P" LOC = P112 |IOSTANDARD = LVDS_33;
93 NET "DIF2N" LOC = P113 |IOSTANDARD = LVDS_33;
94  
95  
96 # Timing Constraint for Crossing Time Domain
97 # Source is ChipScope_VIO_FreqSel output in CLK_FAST time domain
98 # Destination is SW_SYNC register in CLK100MHz time domain
99 INST "SW_SYNC_?" TNM = "TNM_SW_SYNC";
100 TIMESPEC "TS_SW_SYNC" = TO "TNM_SW_SYNC" TIG;
101  
102  
103 # Timing Constraint for Crossing Time Domain
104 # Source is SET_CLK_xxx register (FSM) in CLK100MHz time domain
105 # Destination is ChipScope_VIO_FreqSel inputs in CLK_FAST time domain
106 INST "SYNC_IN_?" TNM = "TNM_SET_CLK";
107 TIMESPEC "TS_SET_CLK" = TO "TNM_SET_CLK" TIG;
108  
109  
110 # Timing Constraint for Clock Switch
111 # Block BUFGMUX is used as Assynchronous switcher
112 PIN "BUFGMUX_CLK_FAST.S" TIG;