Rev Author Line No. Line
3240 povik 1 Programmer Jedec Bit Map
2 Date Extracted: Fri Jul 26 14:37:55 2013
3  
4 QF25812*
5 QP100*
6 QV0*
7 F0*
8 X0*
9 J0 0*
10 N VERSION P.28xd*
11 N DEVICE XC2C64A-5-VQ100*
12  
13 Note Block 0 *
14 Note Block 0 ZIA *
15 L000000 1111111111111111*
16 L000016 1110110011111111*
17 L000032 1111111010110111*
18 L000048 1111111111111111*
19 L000064 1111111010110111*
20 L000080 1111111111111111*
21 L000096 1111111111111111*
22 L000112 1111111010110111*
23 L000128 1111111111111111*
24 L000144 1111111010110111*
25 L000160 1111111111111111*
26 L000176 1111111111111111*
27 L000192 1111111111111111*
28 L000208 1111111111111111*
29 L000224 1111111111111111*
30 L000240 1111111111111111*
31 L000256 1111111111111111*
32 L000272 1111111111111111*
33 L000288 1111111111111111*
34 L000304 1111111111111111*
35 L000320 1111111111111111*
36 L000336 1111111111111111*
37 L000352 1111111111111111*
38 L000368 1111111111111111*
39 L000384 1111111111111111*
40 L000400 1111111111111111*
41 L000416 1111111111111111*
42 L000432 1111111111111111*
43 L000448 1111111111111111*
44 L000464 1111111111111111*
45 L000480 1111111111111111*
46 L000496 1111111111111111*
47 L000512 1111111111111111*
48 L000528 1111111111111111*
49 L000544 1111111111111111*
50 L000560 1111111111111111*
51 L000576 1111111111111111*
52 L000592 1111111111111111*
53 L000608 1111111111111111*
54 L000624 1111111111111111*
55  
56 Note Block 0 PLA AND array *
57 L000640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
58 L000720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
59 L000800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
60 L000880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
61 L000960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
62 L001040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
63 L001120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
64 L001200 11111011111111111111111111111111111111111111111111111111111111111111111111111111*
65 L001280 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
66 L001360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
67 L001440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
68 L001520 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
69 L001600 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
70 L001680 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
71 L001760 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
72 L001840 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
73 L001920 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
74 L002000 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
75 L002080 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
76 L002160 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
77 L002240 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
78 L002320 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
79 L002400 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
80 L002480 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
81 L002560 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
82 L002640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
83 L002720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
84 L002800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
85 L002880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
86 L002960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
87 L003040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
88 L003120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
89 L003200 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
90 L003280 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
91 L003360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
92 L003440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
93 L003520 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
94 L003600 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
95 L003680 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
96 L003760 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
97 L003840 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
98 L003920 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
99 L004000 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
100 L004080 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
101 L004160 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
102 L004240 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
103 L004320 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
104 L004400 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
105 L004480 11111111101111111111111111111111111111111111111111111111111111111111111111111111*
106 L004560 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
107 L004640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
108 L004720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
109 L004800 11011111111111111111111111111111111111111111111111111111111111111111111111111111*
110 L004880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
111 L004960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
112 L005040 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
113  
114 Note Block 0 PLA OR array *
115 L005120 1111111111111111*
116 L005136 1111111111111111*
117 L005152 1111111111111111*
118 L005168 1111111111111111*
119 L005184 1111111111111111*
120 L005200 1111111111111111*
121 L005216 1111111111111111*
122 L005232 1111111111111111*
123 L005248 1111111111111111*
124 L005264 1111111111111111*
125 L005280 1111111111111111*
126 L005296 1111111111111111*
127 L005312 1111111111111111*
128 L005328 1111111111111111*
129 L005344 1111111111111111*
130 L005360 1111111111111111*
131 L005376 1111111111111111*
132 L005392 1111111111111111*
133 L005408 1111111111111111*
134 L005424 1111111111111111*
135 L005440 1111111111111111*
136 L005456 1111111111111111*
137 L005472 1111111111111111*
138 L005488 1111111111111111*
139 L005504 1111111111111111*
140 L005520 1111111111111111*
141 L005536 1111111111111111*
142 L005552 1111111111111111*
143 L005568 1111111111111111*
144 L005584 1111111111111111*
145 L005600 1111111111111111*
146 L005616 1111111111111111*
147 L005632 1111111111111111*
148 L005648 1111111111111111*
149 L005664 1111111111111111*
150 L005680 1111111111111111*
151 L005696 1111111111111111*
152 L005712 1111111111111111*
153 L005728 1111111111111111*
154 L005744 1111111111111111*
155 L005760 1111111111111111*
156 L005776 1111111111111111*
157 L005792 1111111111111111*
158 L005808 1111111111111111*
159 L005824 1111111111111111*
160 L005840 1111111111111111*
161 L005856 1111111111111111*
162 L005872 1111111111111111*
163 L005888 1111111111111111*
164 L005904 1111111111111111*
165 L005920 1111111111111111*
166 L005936 1111111111111111*
167 L005952 1111111111111111*
168 L005968 1111111111111111*
169 L005984 1111111111111111*
170 L006000 1111111111111111*
171  
172 Note Block 0 I/O Macrocell Configuration 27 bits *
173 N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
174 L006016 000001111001111110011111100*
175 L006043 000001111001111110011111100*
176 L006070 000001111001111110011111100*
177 L006097 000001111001111110011111100*
178 L006124 000001111001111110011111100*
179 L006151 000001111001111110011111100*
180 L006178 000001111001111110011111100*
181 L006205 000001111001111110011111100*
182 L006232 000001111001111110011111100*
183 L006259 000001111001111110011111100*
184 L006286 000001111001111110011111100*
185 L006313 000001111001111110011111100*
186 L006340 000001111001111110011111100*
187 L006367 000001111001111101010100101*
188 L006394 000001111001111101011000101*
189 L006421 000001111001111101011000101*
190  
191 Note Block 1 *
192 Note Block 1 ZIA *
193 L006448 1111111111111111*
194 L006464 1111111111111111*
195 L006480 1111111111111111*
196 L006496 1111111111111111*
197 L006512 1111111111111111*
198 L006528 1111111111111111*
199 L006544 1111111011100111*
200 L006560 1111111111111111*
201 L006576 1111111111111111*
202 L006592 1111111111111111*
203 L006608 1111111111111111*
204 L006624 1111111111111111*
205 L006640 1111111111111111*
206 L006656 1111111111111111*
207 L006672 1111111111111111*
208 L006688 1111111111111111*
209 L006704 1111111111111111*
210 L006720 1111111111111111*
211 L006736 1111111111111111*
212 L006752 1111111111111111*
213 L006768 1111111111111111*
214 L006784 1111111111111111*
215 L006800 1111111111111111*
216 L006816 1111111111111111*
217 L006832 1111111111111111*
218 L006848 1111111111111111*
219 L006864 1111111111111111*
220 L006880 1111111111111111*
221 L006896 1111111111111111*
222 L006912 1111111111111111*
223 L006928 1111111111111111*
224 L006944 1111111111111111*
225 L006960 1111111111111111*
226 L006976 1111111111111111*
227 L006992 1111111111111111*
228 L007008 1111111111111111*
229 L007024 1111111111111111*
230 L007040 1111111111111111*
231 L007056 1111111111111111*
232 L007072 1111111111111111*
233  
234 Note Block 1 PLA AND array *
235 L007088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
236 L007168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
237 L007248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
238 L007328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
239 L007408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
240 L007488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
241 L007568 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
242 L007648 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
243 L007728 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
244 L007808 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
245 L007888 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
246 L007968 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
247 L008048 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
248 L008128 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
249 L008208 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
250 L008288 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
251 L008368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
252 L008448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
253 L008528 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
254 L008608 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
255 L008688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
256 L008768 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
257 L008848 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
258 L008928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
259 L009008 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
260 L009088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
261 L009168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
262 L009248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
263 L009328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
264 L009408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
265 L009488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
266 L009568 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
267 L009648 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
268 L009728 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
269 L009808 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
270 L009888 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
271 L009968 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
272 L010048 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
273 L010128 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
274 L010208 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
275 L010288 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
276 L010368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
277 L010448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
278 L010528 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
279 L010608 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
280 L010688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
281 L010768 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
282 L010848 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
283 L010928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
284 L011008 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
285 L011088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
286 L011168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
287 L011248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
288 L011328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
289 L011408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
290 L011488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
291  
292 Note Block 1 PLA OR array *
293 L011568 1111111111111111*
294 L011584 1111111111111111*
295 L011600 1111111111111111*
296 L011616 1111111111111111*
297 L011632 1111111111111111*
298 L011648 1111111111111111*
299 L011664 1111111111111111*
300 L011680 1111111111111111*
301 L011696 1111111111111111*
302 L011712 1111111111111111*
303 L011728 1111111111111111*
304 L011744 1111111111111111*
305 L011760 1111111111111111*
306 L011776 1111111111111111*
307 L011792 1111111111111111*
308 L011808 1111111111111111*
309 L011824 1111111111111111*
310 L011840 1111111111111111*
311 L011856 1111111111111111*
312 L011872 1111111111111111*
313 L011888 1111111111111111*
314 L011904 1111111111111111*
315 L011920 1111111111111111*
316 L011936 1111111111111111*
317 L011952 1111111111111111*
318 L011968 1111111111111111*
319 L011984 1111111111111111*
320 L012000 1111111111111111*
321 L012016 1111111111111111*
322 L012032 1111111111111111*
323 L012048 1111111111111111*
324 L012064 1111111111111111*
325 L012080 1111111111111111*
326 L012096 1111111111111111*
327 L012112 1111111111111111*
328 L012128 1111111111111111*
329 L012144 1111111111111111*
330 L012160 1111111111111111*
331 L012176 1111111111111111*
332 L012192 1111111111111111*
333 L012208 1111111111111111*
334 L012224 1111111111111111*
335 L012240 1111111111111111*
336 L012256 1111111111111111*
337 L012272 1111111111111111*
338 L012288 1111111111111111*
339 L012304 1111111111111111*
340 L012320 1111111111111111*
341 L012336 1111111111111111*
342 L012352 1111111111111111*
343 L012368 1111111111111111*
344 L012384 1111111111111111*
345 L012400 1111111111111111*
346 L012416 1111111111111111*
347 L012432 1111111111111111*
348 L012448 1111111111111111*
349  
350 Note Block 1 I/O Macrocell Configuration 27 bits *
351 N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
352 L012464 000001111001111110011111100*
353 L012491 000001111001111110011111100*
354 L012518 000001111001111110011111100*
355 L012545 000001111001111110011111100*
356 L012572 000001111001111110011111100*
357 L012599 000001111001111110011111100*
358 L012626 000001111001111110011111100*
359 L012653 000001111001111110011111100*
360 L012680 000001111001111110011111100*
361 L012707 000001111001111101010000001*
362 L012734 000001111001111110011111100*
363 L012761 000001111001111110011111100*
364 L012788 000001111001111110011111100*
365 L012815 000001111001111110011111100*
366 L012842 000001111001111110011111100*
367 L012869 000001111001111110011111100*
368  
369 Note Block 2 *
370 Note Block 2 ZIA *
371 L012896 1111111010110111*
372 L012912 1111111111111111*
373 L012928 1110110011111111*
374 L012944 1111111111111111*
375 L012960 1111111111111111*
376 L012976 1111111111111111*
377 L012992 1111111010110111*
378 L013008 1111111111111111*
379 L013024 1111111010110111*
380 L013040 1111111111111111*
381 L013056 1111111010110111*
382 L013072 1111111111111111*
383 L013088 1111111111111111*
384 L013104 1111111111111111*
385 L013120 1111111111111111*
386 L013136 1111111111111111*
387 L013152 1111111111111111*
388 L013168 1111111111111111*
389 L013184 1111111111111111*
390 L013200 1111111010110111*
391 L013216 1111111111111111*
392 L013232 1111111111111111*
393 L013248 1111111111111111*
394 L013264 1111111111111111*
395 L013280 1111111111111111*
396 L013296 1111111111111111*
397 L013312 1111111111111111*
398 L013328 1111111111111111*
399 L013344 1111111111111111*
400 L013360 1111111111111111*
401 L013376 1111111111111111*
402 L013392 1111111111111111*
403 L013408 1111111111111111*
404 L013424 1111111111111111*
405 L013440 1111111111111111*
406 L013456 1111111111111111*
407 L013472 1111111111111111*
408 L013488 1111111111111111*
409 L013504 1111111111111111*
410 L013520 1111111111111111*
411  
412 Note Block 2 PLA AND array *
413 L013536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
414 L013616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
415 L013696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
416 L013776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
417 L013856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
418 L013936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
419 L014016 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
420 L014096 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
421 L014176 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
422 L014256 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
423 L014336 11110111111111111111111111111111111111111111111111111111111111111111111111111111*
424 L014416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
425 L014496 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
426 L014576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
427 L014656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
428 L014736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
429 L014816 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
430 L014896 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
431 L014976 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
432 L015056 01111111111111111111111111111111111111111111111111111111111111111111111111111111*
433 L015136 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
434 L015216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
435 L015296 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
436 L015376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
437 L015456 11111111111111111011111111111111111111111111111111111111111111111111111111111111*
438 L015536 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
439 L015616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
440 L015696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
441 L015776 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
442 L015856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
443 L015936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
444 L016016 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
445 L016096 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
446 L016176 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
447 L016256 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
448 L016336 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
449 L016416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
450 L016496 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
451 L016576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
452 L016656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
453 L016736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
454 L016816 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
455 L016896 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
456 L016976 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
457 L017056 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
458 L017136 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
459 L017216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
460 L017296 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
461 L017376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
462 L017456 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
463 L017536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
464 L017616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
465 L017696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
466 L017776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
467 L017856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
468 L017936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
469  
470 Note Block 2 PLA OR array *
471 L018016 1111111111111111*
472 L018032 1111111111111111*
473 L018048 1111111111111111*
474 L018064 1111111111111111*
475 L018080 1111111111111111*
476 L018096 1111111111111111*
477 L018112 1111111111111111*
478 L018128 1111111111111111*
479 L018144 1111111111111111*
480 L018160 1111111111111111*
481 L018176 1111111111111111*
482 L018192 1111111111111111*
483 L018208 1111111111111111*
484 L018224 1111111111111111*
485 L018240 1111111111111111*
486 L018256 1111111111111111*
487 L018272 1111111111111111*
488 L018288 1111111111111111*
489 L018304 1111111111111111*
490 L018320 1111111111111111*
491 L018336 1111111111111111*
492 L018352 1111111111111111*
493 L018368 1111111111111111*
494 L018384 1111111111111111*
495 L018400 1111111111111111*
496 L018416 1111111111111111*
497 L018432 1111111111111111*
498 L018448 1111111111111111*
499 L018464 1111111111111111*
500 L018480 1111111111111111*
501 L018496 1111111111111111*
502 L018512 1111111111111111*
503 L018528 1111111111111111*
504 L018544 1111111111111111*
505 L018560 1111111111111111*
506 L018576 1111111111111111*
507 L018592 1111111111111111*
508 L018608 1111111111111111*
509 L018624 1111111111111111*
510 L018640 1111111111111111*
511 L018656 1111111111111111*
512 L018672 1111111111111111*
513 L018688 1111111111111111*
514 L018704 1111111111111111*
515 L018720 1111111111111111*
516 L018736 1111111111111111*
517 L018752 1111111111111111*
518 L018768 1111111111111111*
519 L018784 1111111111111111*
520 L018800 1111111111111111*
521 L018816 1111111111111111*
522 L018832 1111111111111111*
523 L018848 1111111111111111*
524 L018864 1111111111111111*
525 L018880 1111111111111111*
526 L018896 1111111111111111*
527  
528 Note Block 2 I/O Macrocell Configuration 27 bits *
529 N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
530 L018912 000001111001111101011000101*
531 L018939 000001111001111110011111100*
532 L018966 000001111001111110011111100*
533 L018993 000001111001111101011000101*
534 L019020 000001111000011100011111100*
535 L019047 000001111000011101010100101*
536 L019074 000001111001111101011000101*
537 L019101 000001111000011100011111100*
538 L019128 000001111001111110011111100*
539 L019155 000001111001111110011111100*
540 L019182 000001111001111110011111100*
541 L019209 000001111001111110011111100*
542 L019236 000001111001111110011111100*
543 L019263 000001111001111110011111100*
544 L019290 000001111001111110011111100*
545 L019317 000001111001111110011111100*
546  
547 Note Block 3 *
548 Note Block 3 ZIA *
549 L019344 1111111111111111*
550 L019360 1111111111111111*
551 L019376 1111111111111111*
552 L019392 1111111111111111*
553 L019408 1111111111111111*
554 L019424 1111111011100111*
555 L019440 1111111011010111*
556 L019456 1111111111111111*
557 L019472 1111111111111111*
558 L019488 1111111111111111*
559 L019504 1111111011100111*
560 L019520 1111111111111111*
561 L019536 1111111111111111*
562 L019552 1111111111111111*
563 L019568 1111111111111111*
564 L019584 1111111111111111*
565 L019600 1111111111111111*
566 L019616 1111111111111111*
567 L019632 1111111111111111*
568 L019648 1111111111111111*
569 L019664 1111111111111111*
570 L019680 1111111111111111*
571 L019696 1111111111111111*
572 L019712 1111111111111111*
573 L019728 1111111111111111*
574 L019744 1111111111111111*
575 L019760 1111111111111111*
576 L019776 1111111111111111*
577 L019792 1111111111111111*
578 L019808 1111111111111111*
579 L019824 1111111111111111*
580 L019840 1111111111111111*
581 L019856 1111111111111111*
582 L019872 1111111111111111*
583 L019888 1111111111111111*
584 L019904 1111111111111111*
585 L019920 1111111111111111*
586 L019936 1111111111111111*
587 L019952 1111111111111111*
588 L019968 1111111111111111*
589  
590 Note Block 3 PLA AND array *
591 L019984 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
592 L020064 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
593 L020144 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
594 L020224 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
595 L020304 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
596 L020384 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
597 L020464 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
598 L020544 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
599 L020624 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
600 L020704 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
601 L020784 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
602 L020864 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
603 L020944 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
604 L021024 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
605 L021104 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
606 L021184 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
607 L021264 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
608 L021344 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
609 L021424 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
610 L021504 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
611 L021584 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
612 L021664 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
613 L021744 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
614 L021824 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
615 L021904 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
616 L021984 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
617 L022064 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
618 L022144 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
619 L022224 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
620 L022304 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
621 L022384 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
622 L022464 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
623 L022544 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
624 L022624 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
625 L022704 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
626 L022784 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
627 L022864 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
628 L022944 11111111110111111111111111111111111111111111111111111111111111111111111111111111*
629 L023024 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
630 L023104 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
631 L023184 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
632 L023264 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
633 L023344 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
634 L023424 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
635 L023504 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
636 L023584 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
637 L023664 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
638 L023744 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
639 L023824 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
640 L023904 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
641 L023984 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
642 L024064 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
643 L024144 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
644 L024224 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
645 L024304 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
646 L024384 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
647  
648 Note Block 3 PLA OR array *
649 L024464 1111111111111111*
650 L024480 1111111111111111*
651 L024496 1111111111111111*
652 L024512 1111111111111111*
653 L024528 1111111111111111*
654 L024544 1111111111111111*
655 L024560 1111111111111111*
656 L024576 1111111111111111*
657 L024592 1111111111111111*
658 L024608 1111111111111111*
659 L024624 1111111111111111*
660 L024640 1111111111111111*
661 L024656 1111111111111111*
662 L024672 1111111111111111*
663 L024688 1111111111111111*
664 L024704 1111111111111111*
665 L024720 1111111111111111*
666 L024736 1111111111111111*
667 L024752 1111111111111111*
668 L024768 1111111111111111*
669 L024784 1111111111111111*
670 L024800 1111111111111111*
671 L024816 1111111111111111*
672 L024832 1111111111111111*
673 L024848 1111111111111111*
674 L024864 1111111111111111*
675 L024880 1111111111111111*
676 L024896 1111111111111111*
677 L024912 1111111111111111*
678 L024928 1111111111111111*
679 L024944 1111111111111111*
680 L024960 1111111111111111*
681 L024976 1111111111111111*
682 L024992 1111111111111111*
683 L025008 1111111111111111*
684 L025024 1111111111111111*
685 L025040 1111111111111111*
686 L025056 1111111111111111*
687 L025072 1111111111111111*
688 L025088 1111111111111111*
689 L025104 1111111111111111*
690 L025120 1111111111111111*
691 L025136 1111111111111111*
692 L025152 1111111111111111*
693 L025168 1111111111111111*
694 L025184 1111111111111111*
695 L025200 1111111111111111*
696 L025216 1111111111111111*
697 L025232 1111111111111111*
698 L025248 1111111111111111*
699 L025264 1111111111111111*
700 L025280 1111111111111111*
701 L025296 1111111111111111*
702 L025312 1111111111111111*
703 L025328 1111111111111111*
704 L025344 1111111111111111*
705  
706 Note Block 3 I/O Macrocell Configuration 27 bits *
707 N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
708 L025360 000001111000011100011111100*
709 L025387 000001111001111110011111100*
710 L025414 000001111001111110011111100*
711 L025441 000001111000011100011111100*
712 L025468 000001111000011100011111100*
713 L025495 000001111000011100011111100*
714 L025522 000001111000011100011111100*
715 L025549 000001111000011100011111100*
716 L025576 000001111000011100011111100*
717 L025603 000001111001111101010000001*
718 L025630 000001111001111101010000001*
719 L025657 000001111000011100011111100*
720 L025684 000001111000011100011111100*
721 L025711 000001111001111101010000001*
722 L025738 000001111000011100011111100*
723 L025765 000001111000011100011111100*
724  
725 Note Globals *
726 Note Global Clock Mux *
727 L025792 000*
728  
729 Note Global Set/Reset Mux *
730 L025795 00*
731  
732 Note Global OE Mux *
733 L025797 11111111*
734  
735 Note Global Termination *
736 L025805 0*
737  
738 Note Input Voltage Standard for IOB *
739 L025806 1*
740  
741 Note Output Voltage Standard for IOB *
742 L025807 1*
743  
744 Note I/O Bank 0 Vcci *
745 L025808 1*
746  
747 Note I/O Bank 0 Vcco *
748 L025809 1*
749  
750 Note I/O Bank 1 Vcci *
751 L025810 1*
752  
753 Note I/O Bank 1 Vcco *
754 L025811 1*
755  
756 C20E9*
757 AB30