Rev Author Line No. Line
4602 jacho 1 Cmp-Mod V01 Created by PcbNew date = 12. 5. 2016 16:20:43
2  
3 BeginCmp
4 TimeStamp = 57349070
5 Path = /573488E5
6 Reference = C1;
7 ValeurCmp = 10uF;
8 IdModule = Mlab_R:SMD-0805;
9 EndCmp
10  
11 BeginCmp
12 TimeStamp = 5734907D
13 Path = /57348896
14 Reference = C2;
15 ValeurCmp = 100nF;
16 IdModule = Mlab_R:SMD-0805;
17 EndCmp
18  
19 BeginCmp
20 TimeStamp = 5734908A
21 Path = /573487C3
22 Reference = J1;
23 ValeurCmp = JUMP_5X2;
24 IdModule = Mlab_Pin_Headers:Straight_2x02;
25 EndCmp
26  
27 BeginCmp
28 TimeStamp = 57349090
29 Path = /5734ABE4
30 Reference = M1;
31 ValeurCmp = HOLE;
32 IdModule = Mlab_Mechanical:MountingHole_3mm;
33 EndCmp
34  
35 BeginCmp
36 TimeStamp = 57349096
37 Path = /5734ACBB
38 Reference = M2;
39 ValeurCmp = HOLE;
40 IdModule = Mlab_Mechanical:MountingHole_3mm;
41 EndCmp
42  
43 BeginCmp
44 TimeStamp = 573490A3
45 Path = /57348903
46 Reference = R1;
47 ValeurCmp = 4k7;
48 IdModule = Mlab_R:SMD-0805;
49 EndCmp
50  
51 BeginCmp
52 TimeStamp = 573490B0
53 Path = /573489C4
54 Reference = R2;
55 ValeurCmp = 4k7;
56 IdModule = Mlab_R:SMD-0805;
57 EndCmp
58  
59 BeginCmp
60 TimeStamp = 573490BD
61 Path = /57349734
62 Reference = R3;
63 ValeurCmp = -;
64 IdModule = Mlab_R:SMD-0805;
65 EndCmp
66  
67 BeginCmp
68 TimeStamp = 573490CA
69 Path = /5734985F
70 Reference = R4;
71 ValeurCmp = 0R;
72 IdModule = Mlab_R:SMD-0805;
73 EndCmp
74  
75 BeginCmp
76 TimeStamp = 573490D7
77 Path = /573489EB
78 Reference = R5;
79 ValeurCmp = 10k;
80 IdModule = Mlab_R:SMD-0805;
81 EndCmp
82  
83 BeginCmp
84 TimeStamp = 5734BFA8
85 Path = /5734C5AD
86 Reference = D1;
87 ValeurCmp = BZV55C-3,6V;
88 IdModule = Mlab_D:Diode-MiniMELF_Standard;
89 EndCmp
90  
91 BeginCmp
92 TimeStamp = 5734BFB2
93 Path = /5734BE61
94 Reference = J2;
95 ValeurCmp = CONN1_1;
96 IdModule = Mlab_Pin_Headers:Straight_1x01;
97 EndCmp
98  
99 BeginCmp
100 TimeStamp = 5734BFBC
101 Path = /57348D17
102 Reference = U1;
103 ValeurCmp = VEML6030;
104 IdModule = Mlab_IO:VEML6030;
105 EndCmp
106  
107 EndListe