Rev Author Line No. Line
3091 miho 1 #ChipScope Pro Analyzer Project File, Version 3.0
2 #Tue Jun 25 09:46:51 CEST 2013
3 device.0.configFileDir=..\\BIN
4 device.0.configFilename=S3AN01_ChipScope_18x1024.bit
5 device.0.inserterCDCFileDir=
6 device.0.inserterCDCFilename=
7 deviceChain.deviceName0=XC3S50AN
8 deviceChain.iRLength0=6
9 deviceChain.name0=Trigger Setup
10 deviceIds=02610093
11 mdiAreaHeight=0.6990077177508269
12 mdiAreaHeightLast=0.6990077177508269
13 mdiCount=4
14 mdiDevice0=0
15 mdiDevice1=0
16 mdiDevice2=0
17 mdiDevice3=0
18 mdiType0=1
19 mdiType1=6
20 mdiType2=0
21 mdiType3=6
22 mdiUnit0=2
23 mdiUnit1=1
24 mdiUnit2=2
25 mdiUnit3=0
26 navigatorHeight=0.24807056229327454
27 navigatorHeightLast=0.2866593164277839
28 navigatorWidth=0.17472375690607736
29 navigatorWidthLast=0.14433701657458564
30 signalDisplayPath=0
31 unit.-1.-1.username=
32 unit.0.-1.username=
33 unit.0.0.0.HEIGHT0=0.3660856
34 unit.0.0.0.TriggerRow0=1
35 unit.0.0.0.TriggerRow1=1
36 unit.0.0.0.TriggerRow2=1
37 unit.0.0.0.WIDTH0=0.9940426
38 unit.0.0.0.X0=0.0
39 unit.0.0.0.Y0=0.0
40 unit.0.0.1.HEIGHT1=0.58161646
41 unit.0.0.1.WIDTH1=0.9940426
42 unit.0.0.1.X1=0.0
43 unit.0.0.1.Y1=0.3660856
44 unit.0.0.6.HEIGHT6=0.75594294
45 unit.0.0.6.WIDTH6=0.17021276
46 unit.0.0.6.X6=0.0025531915
47 unit.0.0.6.Y6=0.014263075
48 unit.0.0.MFBitsA0=XXXXXXXXXXXXXXXXXXXXXXXX
49 unit.0.0.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXX
50 unit.0.0.MFBitsB0=000000000000000000000000
51 unit.0.0.MFBitsB1=000000000000000000000000
52 unit.0.0.MFCompareA0=0
53 unit.0.0.MFCompareA1=0
54 unit.0.0.MFCompareB0=999
55 unit.0.0.MFCompareB1=999
56 unit.0.0.MFCount=2
57 unit.0.0.MFDisplay0=0
58 unit.0.0.MFDisplay1=0
59 unit.0.0.MFEventType0=3
60 unit.0.0.MFEventType1=3
61 unit.0.0.RunMode=SINGLE RUN
62 unit.0.0.SQCondition=All Data
63 unit.0.0.SQContiguous0=0
64 unit.0.0.SequencerOn=0
65 unit.0.0.TCActive=0
66 unit.0.0.TCAdvanced0=0
67 unit.0.0.TCCondition0_0=M0
68 unit.0.0.TCCondition0_1=
69 unit.0.0.TCConditionType0=0
70 unit.0.0.TCCount=1
71 unit.0.0.TCEventCount0=1
72 unit.0.0.TCEventType0=3
73 unit.0.0.TCName0=TriggerCondition0
74 unit.0.0.TCOutputEnable0=0
75 unit.0.0.TCOutputHigh0=1
76 unit.0.0.TCOutputMode0=0
77 unit.0.0.coretype=VIO
78 unit.0.0.eventCount0=1
79 unit.0.0.eventCount1=1
80 unit.0.0.port.-1.buscount=0
81 unit.0.0.port.-1.channelcount=0
82 unit.0.0.port.-1.s.0.alias=
83 unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
84 unit.0.0.port.-1.s.0.name=DataPort[0]
85 unit.0.0.port.-1.s.0.orderindex=-1
86 unit.0.0.port.-1.s.0.visible=1
87 unit.0.0.port.-1.s.1.alias=
88 unit.0.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
89 unit.0.0.port.-1.s.1.name=DataPort[1]
90 unit.0.0.port.-1.s.1.orderindex=-1
91 unit.0.0.port.-1.s.1.visible=1
92 unit.0.0.port.-1.s.10.alias=
93 unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
94 unit.0.0.port.-1.s.10.name=DataPort[10]
95 unit.0.0.port.-1.s.10.orderindex=-1
96 unit.0.0.port.-1.s.10.visible=1
97 unit.0.0.port.-1.s.11.alias=
98 unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
99 unit.0.0.port.-1.s.11.name=DataPort[11]
100 unit.0.0.port.-1.s.11.orderindex=-1
101 unit.0.0.port.-1.s.11.visible=1
102 unit.0.0.port.-1.s.12.alias=
103 unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
104 unit.0.0.port.-1.s.12.name=DataPort[12]
105 unit.0.0.port.-1.s.12.orderindex=-1
106 unit.0.0.port.-1.s.12.visible=1
107 unit.0.0.port.-1.s.13.alias=
108 unit.0.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
109 unit.0.0.port.-1.s.13.name=DataPort[13]
110 unit.0.0.port.-1.s.13.orderindex=-1
111 unit.0.0.port.-1.s.13.visible=1
112 unit.0.0.port.-1.s.14.alias=
113 unit.0.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
114 unit.0.0.port.-1.s.14.name=DataPort[14]
115 unit.0.0.port.-1.s.14.orderindex=-1
116 unit.0.0.port.-1.s.14.visible=1
117 unit.0.0.port.-1.s.15.alias=
118 unit.0.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
119 unit.0.0.port.-1.s.15.name=DataPort[15]
120 unit.0.0.port.-1.s.15.orderindex=-1
121 unit.0.0.port.-1.s.15.visible=1
122 unit.0.0.port.-1.s.16.alias=
123 unit.0.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
124 unit.0.0.port.-1.s.16.name=DataPort[16]
125 unit.0.0.port.-1.s.16.orderindex=-1
126 unit.0.0.port.-1.s.16.visible=1
127 unit.0.0.port.-1.s.17.alias=
128 unit.0.0.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
129 unit.0.0.port.-1.s.17.name=DataPort[17]
130 unit.0.0.port.-1.s.17.orderindex=-1
131 unit.0.0.port.-1.s.17.visible=1
132 unit.0.0.port.-1.s.18.alias=
133 unit.0.0.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
134 unit.0.0.port.-1.s.18.name=DataPort[18]
135 unit.0.0.port.-1.s.18.orderindex=-1
136 unit.0.0.port.-1.s.18.visible=1
137 unit.0.0.port.-1.s.19.alias=
138 unit.0.0.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
139 unit.0.0.port.-1.s.19.name=DataPort[19]
140 unit.0.0.port.-1.s.19.orderindex=-1
141 unit.0.0.port.-1.s.19.visible=1
142 unit.0.0.port.-1.s.2.alias=
143 unit.0.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
144 unit.0.0.port.-1.s.2.name=DataPort[2]
145 unit.0.0.port.-1.s.2.orderindex=-1
146 unit.0.0.port.-1.s.2.visible=1
147 unit.0.0.port.-1.s.20.alias=
148 unit.0.0.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
149 unit.0.0.port.-1.s.20.name=DataPort[20]
150 unit.0.0.port.-1.s.20.orderindex=-1
151 unit.0.0.port.-1.s.20.visible=1
152 unit.0.0.port.-1.s.21.alias=
153 unit.0.0.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
154 unit.0.0.port.-1.s.21.name=DataPort[21]
155 unit.0.0.port.-1.s.21.orderindex=-1
156 unit.0.0.port.-1.s.21.visible=1
157 unit.0.0.port.-1.s.22.alias=
158 unit.0.0.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
159 unit.0.0.port.-1.s.22.name=DataPort[22]
160 unit.0.0.port.-1.s.22.orderindex=-1
161 unit.0.0.port.-1.s.22.visible=1
162 unit.0.0.port.-1.s.23.alias=
163 unit.0.0.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
164 unit.0.0.port.-1.s.23.name=DataPort[23]
165 unit.0.0.port.-1.s.23.orderindex=-1
166 unit.0.0.port.-1.s.23.visible=1
167 unit.0.0.port.-1.s.3.alias=
168 unit.0.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
169 unit.0.0.port.-1.s.3.name=DataPort[3]
170 unit.0.0.port.-1.s.3.orderindex=-1
171 unit.0.0.port.-1.s.3.visible=1
172 unit.0.0.port.-1.s.4.alias=
173 unit.0.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
174 unit.0.0.port.-1.s.4.name=DataPort[4]
175 unit.0.0.port.-1.s.4.orderindex=-1
176 unit.0.0.port.-1.s.4.visible=1
177 unit.0.0.port.-1.s.5.alias=
178 unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
179 unit.0.0.port.-1.s.5.name=DataPort[5]
180 unit.0.0.port.-1.s.5.orderindex=-1
181 unit.0.0.port.-1.s.5.visible=1
182 unit.0.0.port.-1.s.6.alias=
183 unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
184 unit.0.0.port.-1.s.6.name=DataPort[6]
185 unit.0.0.port.-1.s.6.orderindex=-1
186 unit.0.0.port.-1.s.6.visible=1
187 unit.0.0.port.-1.s.7.alias=
188 unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
189 unit.0.0.port.-1.s.7.name=DataPort[7]
190 unit.0.0.port.-1.s.7.orderindex=-1
191 unit.0.0.port.-1.s.7.visible=1
192 unit.0.0.port.-1.s.8.alias=
193 unit.0.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
194 unit.0.0.port.-1.s.8.name=DataPort[8]
195 unit.0.0.port.-1.s.8.orderindex=-1
196 unit.0.0.port.-1.s.8.visible=1
197 unit.0.0.port.-1.s.9.alias=
198 unit.0.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
199 unit.0.0.port.-1.s.9.name=DataPort[9]
200 unit.0.0.port.-1.s.9.orderindex=-1
201 unit.0.0.port.-1.s.9.visible=1
202 unit.0.0.port.0.b.0.alias=
203 unit.0.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23
204 unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
205 unit.0.0.port.0.b.0.name=TriggerPort0
206 unit.0.0.port.0.b.0.orderindex=-1
207 unit.0.0.port.0.b.0.radix=Hex
208 unit.0.0.port.0.b.0.signedOffset=0.0
209 unit.0.0.port.0.b.0.signedPrecision=0
210 unit.0.0.port.0.b.0.signedScaleFactor=1.0
211 unit.0.0.port.0.b.0.unsignedOffset=0.0
212 unit.0.0.port.0.b.0.unsignedPrecision=0
213 unit.0.0.port.0.b.0.unsignedScaleFactor=1.0
214 unit.0.0.port.0.b.0.visible=1
215 unit.0.0.port.0.buscount=0
216 unit.0.0.port.0.channelcount=8
217 unit.0.0.port.0.s.0.alias=1 MHz
218 unit.0.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
219 unit.0.0.port.0.s.0.display=14
220 unit.0.0.port.0.s.0.name=SyncIn[0]
221 unit.0.0.port.0.s.0.orderindex=-1
222 unit.0.0.port.0.s.0.persistence=0
223 unit.0.0.port.0.s.0.value=0
224 unit.0.0.port.0.s.0.visible=1
225 unit.0.0.port.0.s.1.alias=2 MHz
226 unit.0.0.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
227 unit.0.0.port.0.s.1.display=14
228 unit.0.0.port.0.s.1.name=SyncIn[1]
229 unit.0.0.port.0.s.1.orderindex=-1
230 unit.0.0.port.0.s.1.persistence=0
231 unit.0.0.port.0.s.1.value=0
232 unit.0.0.port.0.s.1.visible=1
233 unit.0.0.port.0.s.10.alias=
234 unit.0.0.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
235 unit.0.0.port.0.s.10.name=TriggerPort0[10]
236 unit.0.0.port.0.s.10.orderindex=-1
237 unit.0.0.port.0.s.10.visible=1
238 unit.0.0.port.0.s.11.alias=
239 unit.0.0.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
240 unit.0.0.port.0.s.11.name=TriggerPort0[11]
241 unit.0.0.port.0.s.11.orderindex=-1
242 unit.0.0.port.0.s.11.visible=1
243 unit.0.0.port.0.s.12.alias=
244 unit.0.0.port.0.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
245 unit.0.0.port.0.s.12.name=TriggerPort0[12]
246 unit.0.0.port.0.s.12.orderindex=-1
247 unit.0.0.port.0.s.12.visible=1
248 unit.0.0.port.0.s.13.alias=
249 unit.0.0.port.0.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
250 unit.0.0.port.0.s.13.name=TriggerPort0[13]
251 unit.0.0.port.0.s.13.orderindex=-1
252 unit.0.0.port.0.s.13.visible=1
253 unit.0.0.port.0.s.14.alias=
254 unit.0.0.port.0.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
255 unit.0.0.port.0.s.14.name=TriggerPort0[14]
256 unit.0.0.port.0.s.14.orderindex=-1
257 unit.0.0.port.0.s.14.visible=1
258 unit.0.0.port.0.s.15.alias=
259 unit.0.0.port.0.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
260 unit.0.0.port.0.s.15.name=TriggerPort0[15]
261 unit.0.0.port.0.s.15.orderindex=-1
262 unit.0.0.port.0.s.15.visible=1
263 unit.0.0.port.0.s.16.alias=
264 unit.0.0.port.0.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
265 unit.0.0.port.0.s.16.name=TriggerPort0[16]
266 unit.0.0.port.0.s.16.orderindex=-1
267 unit.0.0.port.0.s.16.visible=1
268 unit.0.0.port.0.s.17.alias=
269 unit.0.0.port.0.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
270 unit.0.0.port.0.s.17.name=TriggerPort0[17]
271 unit.0.0.port.0.s.17.orderindex=-1
272 unit.0.0.port.0.s.17.visible=1
273 unit.0.0.port.0.s.18.alias=
274 unit.0.0.port.0.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
275 unit.0.0.port.0.s.18.name=TriggerPort0[18]
276 unit.0.0.port.0.s.18.orderindex=-1
277 unit.0.0.port.0.s.18.visible=1
278 unit.0.0.port.0.s.19.alias=
279 unit.0.0.port.0.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
280 unit.0.0.port.0.s.19.name=TriggerPort0[19]
281 unit.0.0.port.0.s.19.orderindex=-1
282 unit.0.0.port.0.s.19.visible=1
283 unit.0.0.port.0.s.2.alias=5 MHz
284 unit.0.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
285 unit.0.0.port.0.s.2.display=14
286 unit.0.0.port.0.s.2.name=SyncIn[2]
287 unit.0.0.port.0.s.2.orderindex=-1
288 unit.0.0.port.0.s.2.persistence=0
289 unit.0.0.port.0.s.2.value=0
290 unit.0.0.port.0.s.2.visible=1
291 unit.0.0.port.0.s.20.alias=
292 unit.0.0.port.0.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
293 unit.0.0.port.0.s.20.name=TriggerPort0[20]
294 unit.0.0.port.0.s.20.orderindex=-1
295 unit.0.0.port.0.s.20.visible=1
296 unit.0.0.port.0.s.21.alias=
297 unit.0.0.port.0.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
298 unit.0.0.port.0.s.21.name=TriggerPort0[21]
299 unit.0.0.port.0.s.21.orderindex=-1
300 unit.0.0.port.0.s.21.visible=1
301 unit.0.0.port.0.s.22.alias=
302 unit.0.0.port.0.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
303 unit.0.0.port.0.s.22.name=TriggerPort0[22]
304 unit.0.0.port.0.s.22.orderindex=-1
305 unit.0.0.port.0.s.22.visible=1
306 unit.0.0.port.0.s.23.alias=
307 unit.0.0.port.0.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
308 unit.0.0.port.0.s.23.name=TriggerPort0[23]
309 unit.0.0.port.0.s.23.orderindex=-1
310 unit.0.0.port.0.s.23.visible=1
311 unit.0.0.port.0.s.3.alias=10 MHz
312 unit.0.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
313 unit.0.0.port.0.s.3.display=14
314 unit.0.0.port.0.s.3.name=SyncIn[3]
315 unit.0.0.port.0.s.3.orderindex=-1
316 unit.0.0.port.0.s.3.persistence=0
317 unit.0.0.port.0.s.3.value=0
318 unit.0.0.port.0.s.3.visible=1
319 unit.0.0.port.0.s.4.alias=20 MHz
320 unit.0.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
321 unit.0.0.port.0.s.4.display=14
322 unit.0.0.port.0.s.4.name=SyncIn[4]
323 unit.0.0.port.0.s.4.orderindex=-1
324 unit.0.0.port.0.s.4.persistence=0
325 unit.0.0.port.0.s.4.value=0
326 unit.0.0.port.0.s.4.visible=1
327 unit.0.0.port.0.s.5.alias=50 MHz
328 unit.0.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
329 unit.0.0.port.0.s.5.display=14
330 unit.0.0.port.0.s.5.name=SyncIn[5]
331 unit.0.0.port.0.s.5.orderindex=-1
332 unit.0.0.port.0.s.5.persistence=0
333 unit.0.0.port.0.s.5.value=0
334 unit.0.0.port.0.s.5.visible=1
335 unit.0.0.port.0.s.6.alias=100 MHz
336 unit.0.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
337 unit.0.0.port.0.s.6.display=14
338 unit.0.0.port.0.s.6.name=SyncIn[6]
339 unit.0.0.port.0.s.6.orderindex=-1
340 unit.0.0.port.0.s.6.persistence=0
341 unit.0.0.port.0.s.6.value=0
342 unit.0.0.port.0.s.6.visible=1
343 unit.0.0.port.0.s.7.alias=170 MHz
344 unit.0.0.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
345 unit.0.0.port.0.s.7.display=14
346 unit.0.0.port.0.s.7.name=SyncIn[7]
347 unit.0.0.port.0.s.7.orderindex=-1
348 unit.0.0.port.0.s.7.persistence=0
349 unit.0.0.port.0.s.7.value=0
350 unit.0.0.port.0.s.7.visible=1
351 unit.0.0.port.0.s.8.alias=
352 unit.0.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
353 unit.0.0.port.0.s.8.name=TriggerPort0[8]
354 unit.0.0.port.0.s.8.orderindex=-1
355 unit.0.0.port.0.s.8.visible=1
356 unit.0.0.port.0.s.9.alias=
357 unit.0.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
358 unit.0.0.port.0.s.9.name=TriggerPort0[9]
359 unit.0.0.port.0.s.9.orderindex=-1
360 unit.0.0.port.0.s.9.visible=1
361 unit.0.0.port.1.buscount=0
362 unit.0.0.port.1.channelcount=0
363 unit.0.0.port.2.buscount=0
364 unit.0.0.port.2.channelcount=8
365 unit.0.0.port.2.s.0.alias=Set 1 MHz
366 unit.0.0.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
367 unit.0.0.port.2.s.0.display=5
368 unit.0.0.port.2.s.0.name=SyncOut[0]
369 unit.0.0.port.2.s.0.orderindex=-1
370 unit.0.0.port.2.s.0.persistence=0
371 unit.0.0.port.2.s.0.value=0100000000000000
372 unit.0.0.port.2.s.0.visible=1
373 unit.0.0.port.2.s.1.alias=Set 2 MHz
374 unit.0.0.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
375 unit.0.0.port.2.s.1.display=5
376 unit.0.0.port.2.s.1.name=SyncOut[1]
377 unit.0.0.port.2.s.1.orderindex=-1
378 unit.0.0.port.2.s.1.persistence=0
379 unit.0.0.port.2.s.1.value=0100000000000000
380 unit.0.0.port.2.s.1.visible=1
381 unit.0.0.port.2.s.2.alias=Set 5 MHz
382 unit.0.0.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
383 unit.0.0.port.2.s.2.display=5
384 unit.0.0.port.2.s.2.name=SyncOut[2]
385 unit.0.0.port.2.s.2.orderindex=-1
386 unit.0.0.port.2.s.2.persistence=0
387 unit.0.0.port.2.s.2.value=0100000000000000
388 unit.0.0.port.2.s.2.visible=1
389 unit.0.0.port.2.s.3.alias=Set 10 MHz
390 unit.0.0.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
391 unit.0.0.port.2.s.3.display=5
392 unit.0.0.port.2.s.3.name=SyncOut[3]
393 unit.0.0.port.2.s.3.orderindex=-1
394 unit.0.0.port.2.s.3.persistence=0
395 unit.0.0.port.2.s.3.value=0000000000000000
396 unit.0.0.port.2.s.3.visible=1
397 unit.0.0.port.2.s.4.alias=Set 20 MHz
398 unit.0.0.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
399 unit.0.0.port.2.s.4.display=5
400 unit.0.0.port.2.s.4.name=SyncOut[4]
401 unit.0.0.port.2.s.4.orderindex=-1
402 unit.0.0.port.2.s.4.persistence=0
403 unit.0.0.port.2.s.4.value=0100000000000000
404 unit.0.0.port.2.s.4.visible=1
405 unit.0.0.port.2.s.5.alias=Set 50 MHz
406 unit.0.0.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
407 unit.0.0.port.2.s.5.display=5
408 unit.0.0.port.2.s.5.name=SyncOut[5]
409 unit.0.0.port.2.s.5.orderindex=-1
410 unit.0.0.port.2.s.5.persistence=0
411 unit.0.0.port.2.s.5.value=0000000000000000
412 unit.0.0.port.2.s.5.visible=1
413 unit.0.0.port.2.s.6.alias=Set 100 MHz
414 unit.0.0.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
415 unit.0.0.port.2.s.6.display=5
416 unit.0.0.port.2.s.6.name=SyncOut[6]
417 unit.0.0.port.2.s.6.orderindex=-1
418 unit.0.0.port.2.s.6.persistence=0
419 unit.0.0.port.2.s.6.value=0100000000000000
420 unit.0.0.port.2.s.6.visible=1
421 unit.0.0.port.2.s.7.alias=Set 170 MHz
422 unit.0.0.port.2.s.7.color=java.awt.Color[r\=100,g\=0,b\=124]
423 unit.0.0.port.2.s.7.display=5
424 unit.0.0.port.2.s.7.name=SyncOut[7]
425 unit.0.0.port.2.s.7.orderindex=-1
426 unit.0.0.port.2.s.7.persistence=0
427 unit.0.0.port.2.s.7.value=0100000000000000
428 unit.0.0.port.2.s.7.visible=1
429 unit.0.0.portcount=3
430 unit.0.0.rep_trigger.clobber=1
431 unit.0.0.rep_trigger.dir=C\:\\Users\\miho
432 unit.0.0.rep_trigger.filename=waveform
433 unit.0.0.rep_trigger.format=ASCII
434 unit.0.0.rep_trigger.loggingEnabled=0
435 unit.0.0.rep_trigger.signals=All Signals/Buses
436 unit.0.0.samplesPerTrigger=1
437 unit.0.0.triggerCapture=1
438 unit.0.0.triggerNSamplesTS=0
439 unit.0.0.triggerPosition=0
440 unit.0.0.triggerWindowCount=1
441 unit.0.0.triggerWindowDepth=1024
442 unit.0.0.triggerWindowTS=0
443 unit.0.0.username=Set Frequency
444 unit.0.0.vio.count=16
445 unit.0.0.vio.posn.0.channel=0
446 unit.0.0.vio.posn.0.name=1 MHz
447 unit.0.0.vio.posn.0.port=0
448 unit.0.0.vio.posn.0.type=signal
449 unit.0.0.vio.posn.1.channel=1
450 unit.0.0.vio.posn.1.name=2 MHz
451 unit.0.0.vio.posn.1.port=0
452 unit.0.0.vio.posn.1.type=signal
453 unit.0.0.vio.posn.10.channel=2
454 unit.0.0.vio.posn.10.name=Set 5 MHz
455 unit.0.0.vio.posn.10.port=2
456 unit.0.0.vio.posn.10.type=signal
457 unit.0.0.vio.posn.11.channel=3
458 unit.0.0.vio.posn.11.name=Set 10 MHz
459 unit.0.0.vio.posn.11.port=2
460 unit.0.0.vio.posn.11.type=signal
461 unit.0.0.vio.posn.12.channel=4
462 unit.0.0.vio.posn.12.name=Set 20 MHz
463 unit.0.0.vio.posn.12.port=2
464 unit.0.0.vio.posn.12.type=signal
465 unit.0.0.vio.posn.13.channel=5
466 unit.0.0.vio.posn.13.name=Set 50 MHz
467 unit.0.0.vio.posn.13.port=2
468 unit.0.0.vio.posn.13.type=signal
469 unit.0.0.vio.posn.14.channel=6
470 unit.0.0.vio.posn.14.name=Set 100 MHz
471 unit.0.0.vio.posn.14.port=2
472 unit.0.0.vio.posn.14.type=signal
473 unit.0.0.vio.posn.15.channel=7
474 unit.0.0.vio.posn.15.name=Set 170 MHz
475 unit.0.0.vio.posn.15.port=2
476 unit.0.0.vio.posn.15.type=signal
477 unit.0.0.vio.posn.2.channel=2
478 unit.0.0.vio.posn.2.name=5 MHz
479 unit.0.0.vio.posn.2.port=0
480 unit.0.0.vio.posn.2.type=signal
481 unit.0.0.vio.posn.3.channel=3
482 unit.0.0.vio.posn.3.name=10 MHz
483 unit.0.0.vio.posn.3.port=0
484 unit.0.0.vio.posn.3.type=signal
485 unit.0.0.vio.posn.4.channel=4
486 unit.0.0.vio.posn.4.name=20 MHz
487 unit.0.0.vio.posn.4.port=0
488 unit.0.0.vio.posn.4.type=signal
489 unit.0.0.vio.posn.5.channel=5
490 unit.0.0.vio.posn.5.name=50 MHz
491 unit.0.0.vio.posn.5.port=0
492 unit.0.0.vio.posn.5.type=signal
493 unit.0.0.vio.posn.6.channel=6
494 unit.0.0.vio.posn.6.name=100 MHz
495 unit.0.0.vio.posn.6.port=0
496 unit.0.0.vio.posn.6.type=signal
497 unit.0.0.vio.posn.7.channel=7
498 unit.0.0.vio.posn.7.name=170 MHz
499 unit.0.0.vio.posn.7.port=0
500 unit.0.0.vio.posn.7.type=signal
501 unit.0.0.vio.posn.8.channel=0
502 unit.0.0.vio.posn.8.name=Set 1 MHz
503 unit.0.0.vio.posn.8.port=2
504 unit.0.0.vio.posn.8.type=signal
505 unit.0.0.vio.posn.9.channel=1
506 unit.0.0.vio.posn.9.name=Set 2 MHz
507 unit.0.0.vio.posn.9.port=2
508 unit.0.0.vio.posn.9.type=signal
509 unit.0.0.vio.readperiod=0
510 unit.0.0.waveform.count=24
511 unit.0.0.waveform.posn.0.channel=0
512 unit.0.0.waveform.posn.0.name=DataPort[0]
513 unit.0.0.waveform.posn.0.type=signal
514 unit.0.0.waveform.posn.1.channel=1
515 unit.0.0.waveform.posn.1.name=DataPort[1]
516 unit.0.0.waveform.posn.1.type=signal
517 unit.0.0.waveform.posn.10.channel=10
518 unit.0.0.waveform.posn.10.name=DataPort[10]
519 unit.0.0.waveform.posn.10.type=signal
520 unit.0.0.waveform.posn.11.channel=11
521 unit.0.0.waveform.posn.11.name=DataPort[11]
522 unit.0.0.waveform.posn.11.type=signal
523 unit.0.0.waveform.posn.12.channel=12
524 unit.0.0.waveform.posn.12.name=DataPort[12]
525 unit.0.0.waveform.posn.12.type=signal
526 unit.0.0.waveform.posn.13.channel=13
527 unit.0.0.waveform.posn.13.name=DataPort[13]
528 unit.0.0.waveform.posn.13.type=signal
529 unit.0.0.waveform.posn.14.channel=14
530 unit.0.0.waveform.posn.14.name=DataPort[14]
531 unit.0.0.waveform.posn.14.type=signal
532 unit.0.0.waveform.posn.15.channel=15
533 unit.0.0.waveform.posn.15.name=DataPort[15]
534 unit.0.0.waveform.posn.15.type=signal
535 unit.0.0.waveform.posn.16.channel=16
536 unit.0.0.waveform.posn.16.name=DataPort[16]
537 unit.0.0.waveform.posn.16.type=signal
538 unit.0.0.waveform.posn.17.channel=17
539 unit.0.0.waveform.posn.17.name=DataPort[17]
540 unit.0.0.waveform.posn.17.type=signal
541 unit.0.0.waveform.posn.18.channel=18
542 unit.0.0.waveform.posn.18.name=DataPort[18]
543 unit.0.0.waveform.posn.18.type=signal
544 unit.0.0.waveform.posn.19.channel=19
545 unit.0.0.waveform.posn.19.name=DataPort[19]
546 unit.0.0.waveform.posn.19.type=signal
547 unit.0.0.waveform.posn.2.channel=2
548 unit.0.0.waveform.posn.2.name=DataPort[2]
549 unit.0.0.waveform.posn.2.type=signal
550 unit.0.0.waveform.posn.20.channel=20
551 unit.0.0.waveform.posn.20.name=DataPort[20]
552 unit.0.0.waveform.posn.20.type=signal
553 unit.0.0.waveform.posn.21.channel=21
554 unit.0.0.waveform.posn.21.name=DataPort[21]
555 unit.0.0.waveform.posn.21.type=signal
556 unit.0.0.waveform.posn.22.channel=22
557 unit.0.0.waveform.posn.22.name=DataPort[22]
558 unit.0.0.waveform.posn.22.type=signal
559 unit.0.0.waveform.posn.23.channel=23
560 unit.0.0.waveform.posn.23.name=DataPort[23]
561 unit.0.0.waveform.posn.23.type=signal
562 unit.0.0.waveform.posn.3.channel=3
563 unit.0.0.waveform.posn.3.name=DataPort[3]
564 unit.0.0.waveform.posn.3.type=signal
565 unit.0.0.waveform.posn.4.channel=4
566 unit.0.0.waveform.posn.4.name=DataPort[4]
567 unit.0.0.waveform.posn.4.type=signal
568 unit.0.0.waveform.posn.5.channel=5
569 unit.0.0.waveform.posn.5.name=DataPort[5]
570 unit.0.0.waveform.posn.5.type=signal
571 unit.0.0.waveform.posn.6.channel=6
572 unit.0.0.waveform.posn.6.name=DataPort[6]
573 unit.0.0.waveform.posn.6.type=signal
574 unit.0.0.waveform.posn.7.channel=7
575 unit.0.0.waveform.posn.7.name=DataPort[7]
576 unit.0.0.waveform.posn.7.type=signal
577 unit.0.0.waveform.posn.8.channel=8
578 unit.0.0.waveform.posn.8.name=DataPort[8]
579 unit.0.0.waveform.posn.8.type=signal
580 unit.0.0.waveform.posn.9.channel=9
581 unit.0.0.waveform.posn.9.name=DataPort[9]
582 unit.0.0.waveform.posn.9.type=signal
583 unit.0.1.6.HEIGHT6=0.23296355
584 unit.0.1.6.WIDTH6=0.17021276
585 unit.0.1.6.X6=8.510638E-4
586 unit.0.1.6.Y6=0.7717908
587 unit.0.1.coretype=VIO
588 unit.0.1.port.-1.buscount=0
589 unit.0.1.port.-1.channelcount=0
590 unit.0.1.port.0.buscount=0
591 unit.0.1.port.0.channelcount=0
592 unit.0.1.port.1.buscount=0
593 unit.0.1.port.1.channelcount=0
594 unit.0.1.port.2.buscount=0
595 unit.0.1.port.2.channelcount=3
596 unit.0.1.port.2.s.0.alias=VS[0]
597 unit.0.1.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
598 unit.0.1.port.2.s.0.display=3
599 unit.0.1.port.2.s.0.name=SyncOut[0]
600 unit.0.1.port.2.s.0.orderindex=-1
601 unit.0.1.port.2.s.0.persistence=0
602 unit.0.1.port.2.s.0.value=0
603 unit.0.1.port.2.s.0.visible=1
604 unit.0.1.port.2.s.1.alias=VS[1]
605 unit.0.1.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
606 unit.0.1.port.2.s.1.display=3
607 unit.0.1.port.2.s.1.name=SyncOut[1]
608 unit.0.1.port.2.s.1.orderindex=-1
609 unit.0.1.port.2.s.1.persistence=0
610 unit.0.1.port.2.s.1.value=0
611 unit.0.1.port.2.s.1.visible=1
612 unit.0.1.port.2.s.2.alias=VS[2]
613 unit.0.1.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
614 unit.0.1.port.2.s.2.display=3
615 unit.0.1.port.2.s.2.name=SyncOut[2]
616 unit.0.1.port.2.s.2.orderindex=-1
617 unit.0.1.port.2.s.2.persistence=0
618 unit.0.1.port.2.s.2.value=0
619 unit.0.1.port.2.s.2.visible=1
620 unit.0.1.portcount=3
621 unit.0.1.username=Set User Output
622 unit.0.1.vio.count=3
623 unit.0.1.vio.posn.0.channel=0
624 unit.0.1.vio.posn.0.name=VS[0]
625 unit.0.1.vio.posn.0.port=2
626 unit.0.1.vio.posn.0.type=signal
627 unit.0.1.vio.posn.1.channel=1
628 unit.0.1.vio.posn.1.name=VS[1]
629 unit.0.1.vio.posn.1.port=2
630 unit.0.1.vio.posn.1.type=signal
631 unit.0.1.vio.posn.2.channel=2
632 unit.0.1.vio.posn.2.name=VS[2]
633 unit.0.1.vio.posn.2.port=2
634 unit.0.1.vio.posn.2.type=signal
635 unit.0.1.vio.readperiod=0
636 unit.0.2.0.HEIGHT0=0.79873216
637 unit.0.2.0.TriggerRow0=1
638 unit.0.2.0.TriggerRow1=1
639 unit.0.2.0.TriggerRow2=1
640 unit.0.2.0.WIDTH0=0.99659574
641 unit.0.2.0.X0=0.0
642 unit.0.2.0.Y0=0.0
643 unit.0.2.1.HEIGHT1=0.9191759
644 unit.0.2.1.WIDTH1=0.9361702
645 unit.0.2.1.X1=0.015319149
646 unit.0.2.1.Y1=0.08082409
647 unit.0.2.2.HEIGHT2=0.42472267
648 unit.0.2.2.WIDTH2=0.91574466
649 unit.0.2.2.X2=0.08425532
650 unit.0.2.2.Y2=0.5229794
651 unit.0.2.5.HEIGHT5=0.42472267
652 unit.0.2.5.WIDTH5=0.91574466
653 unit.0.2.5.X5=0.08425532
654 unit.0.2.5.Y5=0.5229794
655 unit.0.2.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXX
656 unit.0.2.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXX
657 unit.0.2.MFBitsA2=XXXXXXXXXXXXXXXXXXXXXXXX
658 unit.0.2.MFBitsB0=000000000000000000000000
659 unit.0.2.MFBitsB1=000000000000000000000000
660 unit.0.2.MFBitsB2=000000000000000000000000
661 unit.0.2.MFCompareA0=0
662 unit.0.2.MFCompareA1=0
663 unit.0.2.MFCompareA2=0
664 unit.0.2.MFCompareB0=999
665 unit.0.2.MFCompareB1=999
666 unit.0.2.MFCompareB2=999
667 unit.0.2.MFCount=3
668 unit.0.2.MFDisplay0=0
669 unit.0.2.MFDisplay1=0
670 unit.0.2.MFDisplay2=0
671 unit.0.2.MFEventType0=3
672 unit.0.2.MFEventType1=3
673 unit.0.2.MFEventType2=3
674 unit.0.2.RunMode=SINGLE RUN
675 unit.0.2.SQCondition=All Data
676 unit.0.2.SQContiguous0=0
677 unit.0.2.SequencerOn=0
678 unit.0.2.TCActive=0
679 unit.0.2.TCAdvanced0=0
680 unit.0.2.TCCondition0_0=M0
681 unit.0.2.TCCondition0_1=
682 unit.0.2.TCConditionType0=0
683 unit.0.2.TCCount=1
684 unit.0.2.TCEventCount0=1
685 unit.0.2.TCEventType0=3
686 unit.0.2.TCName0=TriggerCondition0
687 unit.0.2.TCOutputEnable0=0
688 unit.0.2.TCOutputHigh0=1
689 unit.0.2.TCOutputMode0=0
690 unit.0.2.browser_tree_state<Data\ Port>=1
691 unit.0.2.browser_tree_state<Trigger\ Ports>=1
692 unit.0.2.browser_tree_state<TriggerPort0>=1
693 unit.0.2.coretype=ILA
694 unit.0.2.eventCount0=1
695 unit.0.2.eventCount1=1
696 unit.0.2.eventCount2=1
697 unit.0.2.listing.count=0
698 unit.0.2.listing.posn.0.channel=0
699 unit.0.2.listing.posn.0.colWidth=75
700 unit.0.2.listing.posn.0.name=P[0]
701 unit.0.2.listing.posn.0.type=signal
702 unit.0.2.listing.posn.1.channel=1
703 unit.0.2.listing.posn.1.colWidth=75
704 unit.0.2.listing.posn.1.name=P[1]
705 unit.0.2.listing.posn.1.type=signal
706 unit.0.2.listing.posn.10.channel=10
707 unit.0.2.listing.posn.10.colWidth=75
708 unit.0.2.listing.posn.10.name=P[10]
709 unit.0.2.listing.posn.10.type=signal
710 unit.0.2.listing.posn.11.channel=11
711 unit.0.2.listing.posn.11.colWidth=75
712 unit.0.2.listing.posn.11.name=P[11]
713 unit.0.2.listing.posn.11.type=signal
714 unit.0.2.listing.posn.12.channel=12
715 unit.0.2.listing.posn.12.colWidth=75
716 unit.0.2.listing.posn.12.name=P[12]
717 unit.0.2.listing.posn.12.type=signal
718 unit.0.2.listing.posn.13.channel=13
719 unit.0.2.listing.posn.13.colWidth=75
720 unit.0.2.listing.posn.13.name=P[13]
721 unit.0.2.listing.posn.13.type=signal
722 unit.0.2.listing.posn.14.channel=14
723 unit.0.2.listing.posn.14.colWidth=75
724 unit.0.2.listing.posn.14.name=P[14]
725 unit.0.2.listing.posn.14.type=signal
726 unit.0.2.listing.posn.15.channel=15
727 unit.0.2.listing.posn.15.colWidth=75
728 unit.0.2.listing.posn.15.name=P[15]
729 unit.0.2.listing.posn.15.type=signal
730 unit.0.2.listing.posn.16.channel=16
731 unit.0.2.listing.posn.16.colWidth=75
732 unit.0.2.listing.posn.16.name=P[16]
733 unit.0.2.listing.posn.16.type=signal
734 unit.0.2.listing.posn.17.channel=17
735 unit.0.2.listing.posn.17.colWidth=75
736 unit.0.2.listing.posn.17.name=P[17]
737 unit.0.2.listing.posn.17.type=signal
738 unit.0.2.listing.posn.2.channel=2
739 unit.0.2.listing.posn.2.colWidth=75
740 unit.0.2.listing.posn.2.name=P[2]
741 unit.0.2.listing.posn.2.type=signal
742 unit.0.2.listing.posn.3.channel=3
743 unit.0.2.listing.posn.3.colWidth=75
744 unit.0.2.listing.posn.3.name=P[3]
745 unit.0.2.listing.posn.3.type=signal
746 unit.0.2.listing.posn.4.channel=4
747 unit.0.2.listing.posn.4.colWidth=75
748 unit.0.2.listing.posn.4.name=P[4]
749 unit.0.2.listing.posn.4.type=signal
750 unit.0.2.listing.posn.5.channel=5
751 unit.0.2.listing.posn.5.colWidth=75
752 unit.0.2.listing.posn.5.name=P[5]
753 unit.0.2.listing.posn.5.type=signal
754 unit.0.2.listing.posn.6.channel=6
755 unit.0.2.listing.posn.6.colWidth=75
756 unit.0.2.listing.posn.6.name=P[6]
757 unit.0.2.listing.posn.6.type=signal
758 unit.0.2.listing.posn.7.channel=7
759 unit.0.2.listing.posn.7.colWidth=75
760 unit.0.2.listing.posn.7.name=P[7]
761 unit.0.2.listing.posn.7.type=signal
762 unit.0.2.listing.posn.8.channel=8
763 unit.0.2.listing.posn.8.colWidth=75
764 unit.0.2.listing.posn.8.name=P[8]
765 unit.0.2.listing.posn.8.type=signal
766 unit.0.2.listing.posn.9.channel=9
767 unit.0.2.listing.posn.9.colWidth=75
768 unit.0.2.listing.posn.9.name=P[9]
769 unit.0.2.listing.posn.9.type=signal
770 unit.0.2.plotBusCount=0
771 unit.0.2.plotBusX=
772 unit.0.2.plotBusY=
773 unit.0.2.plotDataTimeMode=1
774 unit.0.2.plotDisplayMode=line & points
775 unit.0.2.plotMaxX=0.0
776 unit.0.2.plotMaxY=0.0
777 unit.0.2.plotMinX=0.0
778 unit.0.2.plotMinY=0.0
779 unit.0.2.plotSelectedBus=0
780 unit.0.2.port.-1.buscount=0
781 unit.0.2.port.-1.channelcount=18
782 unit.0.2.port.-1.s.0.alias=P[0]
783 unit.0.2.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
784 unit.0.2.port.-1.s.0.name=DataPort[0]
785 unit.0.2.port.-1.s.0.orderindex=-1
786 unit.0.2.port.-1.s.0.visible=1
787 unit.0.2.port.-1.s.1.alias=P[1]
788 unit.0.2.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
789 unit.0.2.port.-1.s.1.name=DataPort[1]
790 unit.0.2.port.-1.s.1.orderindex=-1
791 unit.0.2.port.-1.s.1.visible=1
792 unit.0.2.port.-1.s.10.alias=P[10]
793 unit.0.2.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
794 unit.0.2.port.-1.s.10.name=DataPort[10]
795 unit.0.2.port.-1.s.10.orderindex=-1
796 unit.0.2.port.-1.s.10.visible=1
797 unit.0.2.port.-1.s.11.alias=P[11]
798 unit.0.2.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
799 unit.0.2.port.-1.s.11.name=DataPort[11]
800 unit.0.2.port.-1.s.11.orderindex=-1
801 unit.0.2.port.-1.s.11.visible=1
802 unit.0.2.port.-1.s.12.alias=P[12]
803 unit.0.2.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
804 unit.0.2.port.-1.s.12.name=DataPort[12]
805 unit.0.2.port.-1.s.12.orderindex=-1
806 unit.0.2.port.-1.s.12.visible=1
807 unit.0.2.port.-1.s.13.alias=P[13]
808 unit.0.2.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
809 unit.0.2.port.-1.s.13.name=DataPort[13]
810 unit.0.2.port.-1.s.13.orderindex=-1
811 unit.0.2.port.-1.s.13.visible=1
812 unit.0.2.port.-1.s.14.alias=P[14]
813 unit.0.2.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
814 unit.0.2.port.-1.s.14.name=DataPort[14]
815 unit.0.2.port.-1.s.14.orderindex=-1
816 unit.0.2.port.-1.s.14.visible=1
817 unit.0.2.port.-1.s.15.alias=P[15]
818 unit.0.2.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
819 unit.0.2.port.-1.s.15.name=DataPort[15]
820 unit.0.2.port.-1.s.15.orderindex=-1
821 unit.0.2.port.-1.s.15.visible=1
822 unit.0.2.port.-1.s.16.alias=P[16]
823 unit.0.2.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
824 unit.0.2.port.-1.s.16.name=DataPort[16]
825 unit.0.2.port.-1.s.16.orderindex=-1
826 unit.0.2.port.-1.s.16.visible=1
827 unit.0.2.port.-1.s.17.alias=P[17]
828 unit.0.2.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
829 unit.0.2.port.-1.s.17.name=DataPort[17]
830 unit.0.2.port.-1.s.17.orderindex=-1
831 unit.0.2.port.-1.s.17.visible=1
832 unit.0.2.port.-1.s.2.alias=P[2]
833 unit.0.2.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
834 unit.0.2.port.-1.s.2.name=DataPort[2]
835 unit.0.2.port.-1.s.2.orderindex=-1
836 unit.0.2.port.-1.s.2.visible=1
837 unit.0.2.port.-1.s.3.alias=P[3]
838 unit.0.2.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
839 unit.0.2.port.-1.s.3.name=DataPort[3]
840 unit.0.2.port.-1.s.3.orderindex=-1
841 unit.0.2.port.-1.s.3.visible=1
842 unit.0.2.port.-1.s.4.alias=P[4]
843 unit.0.2.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
844 unit.0.2.port.-1.s.4.name=DataPort[4]
845 unit.0.2.port.-1.s.4.orderindex=-1
846 unit.0.2.port.-1.s.4.visible=1
847 unit.0.2.port.-1.s.5.alias=P[5]
848 unit.0.2.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
849 unit.0.2.port.-1.s.5.name=DataPort[5]
850 unit.0.2.port.-1.s.5.orderindex=-1
851 unit.0.2.port.-1.s.5.visible=1
852 unit.0.2.port.-1.s.6.alias=P[6]
853 unit.0.2.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
854 unit.0.2.port.-1.s.6.name=DataPort[6]
855 unit.0.2.port.-1.s.6.orderindex=-1
856 unit.0.2.port.-1.s.6.visible=1
857 unit.0.2.port.-1.s.7.alias=P[7]
858 unit.0.2.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
859 unit.0.2.port.-1.s.7.name=DataPort[7]
860 unit.0.2.port.-1.s.7.orderindex=-1
861 unit.0.2.port.-1.s.7.visible=1
862 unit.0.2.port.-1.s.8.alias=P[8]
863 unit.0.2.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
864 unit.0.2.port.-1.s.8.name=DataPort[8]
865 unit.0.2.port.-1.s.8.orderindex=-1
866 unit.0.2.port.-1.s.8.visible=1
867 unit.0.2.port.-1.s.9.alias=P[9]
868 unit.0.2.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
869 unit.0.2.port.-1.s.9.name=DataPort[9]
870 unit.0.2.port.-1.s.9.orderindex=-1
871 unit.0.2.port.-1.s.9.visible=1
872 unit.0.2.port.0.b.0.alias=
873 unit.0.2.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23
874 unit.0.2.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
875 unit.0.2.port.0.b.0.name=TriggerPort0
876 unit.0.2.port.0.b.0.orderindex=-1
877 unit.0.2.port.0.b.0.radix=Hex
878 unit.0.2.port.0.b.0.signedOffset=0.0
879 unit.0.2.port.0.b.0.signedPrecision=0
880 unit.0.2.port.0.b.0.signedScaleFactor=1.0
881 unit.0.2.port.0.b.0.unsignedOffset=0.0
882 unit.0.2.port.0.b.0.unsignedPrecision=0
883 unit.0.2.port.0.b.0.unsignedScaleFactor=1.0
884 unit.0.2.port.0.b.0.visible=1
885 unit.0.2.port.0.buscount=1
886 unit.0.2.port.0.channelcount=24
887 unit.0.2.port.0.s.0.alias=
888 unit.0.2.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
889 unit.0.2.port.0.s.0.name=TriggerPort0[0]
890 unit.0.2.port.0.s.0.orderindex=-1
891 unit.0.2.port.0.s.0.visible=1
892 unit.0.2.port.0.s.1.alias=
893 unit.0.2.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
894 unit.0.2.port.0.s.1.name=TriggerPort0[1]
895 unit.0.2.port.0.s.1.orderindex=-1
896 unit.0.2.port.0.s.1.visible=1
897 unit.0.2.port.0.s.10.alias=
898 unit.0.2.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
899 unit.0.2.port.0.s.10.name=TriggerPort0[10]
900 unit.0.2.port.0.s.10.orderindex=-1
901 unit.0.2.port.0.s.10.visible=1
902 unit.0.2.port.0.s.11.alias=
903 unit.0.2.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
904 unit.0.2.port.0.s.11.name=TriggerPort0[11]
905 unit.0.2.port.0.s.11.orderindex=-1
906 unit.0.2.port.0.s.11.visible=1
907 unit.0.2.port.0.s.12.alias=
908 unit.0.2.port.0.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
909 unit.0.2.port.0.s.12.name=TriggerPort0[12]
910 unit.0.2.port.0.s.12.orderindex=-1
911 unit.0.2.port.0.s.12.visible=1
912 unit.0.2.port.0.s.13.alias=
913 unit.0.2.port.0.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
914 unit.0.2.port.0.s.13.name=TriggerPort0[13]
915 unit.0.2.port.0.s.13.orderindex=-1
916 unit.0.2.port.0.s.13.visible=1
917 unit.0.2.port.0.s.14.alias=
918 unit.0.2.port.0.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
919 unit.0.2.port.0.s.14.name=TriggerPort0[14]
920 unit.0.2.port.0.s.14.orderindex=-1
921 unit.0.2.port.0.s.14.visible=1
922 unit.0.2.port.0.s.15.alias=
923 unit.0.2.port.0.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
924 unit.0.2.port.0.s.15.name=TriggerPort0[15]
925 unit.0.2.port.0.s.15.orderindex=-1
926 unit.0.2.port.0.s.15.visible=1
927 unit.0.2.port.0.s.16.alias=
928 unit.0.2.port.0.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
929 unit.0.2.port.0.s.16.name=TriggerPort0[16]
930 unit.0.2.port.0.s.16.orderindex=-1
931 unit.0.2.port.0.s.16.visible=1
932 unit.0.2.port.0.s.17.alias=
933 unit.0.2.port.0.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
934 unit.0.2.port.0.s.17.name=TriggerPort0[17]
935 unit.0.2.port.0.s.17.orderindex=-1
936 unit.0.2.port.0.s.17.visible=1
937 unit.0.2.port.0.s.18.alias=
938 unit.0.2.port.0.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
939 unit.0.2.port.0.s.18.name=TriggerPort0[18]
940 unit.0.2.port.0.s.18.orderindex=-1
941 unit.0.2.port.0.s.18.visible=1
942 unit.0.2.port.0.s.19.alias=
943 unit.0.2.port.0.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
944 unit.0.2.port.0.s.19.name=TriggerPort0[19]
945 unit.0.2.port.0.s.19.orderindex=-1
946 unit.0.2.port.0.s.19.visible=1
947 unit.0.2.port.0.s.2.alias=
948 unit.0.2.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
949 unit.0.2.port.0.s.2.name=TriggerPort0[2]
950 unit.0.2.port.0.s.2.orderindex=-1
951 unit.0.2.port.0.s.2.visible=1
952 unit.0.2.port.0.s.20.alias=
953 unit.0.2.port.0.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
954 unit.0.2.port.0.s.20.name=TriggerPort0[20]
955 unit.0.2.port.0.s.20.orderindex=-1
956 unit.0.2.port.0.s.20.visible=1
957 unit.0.2.port.0.s.21.alias=
958 unit.0.2.port.0.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
959 unit.0.2.port.0.s.21.name=TriggerPort0[21]
960 unit.0.2.port.0.s.21.orderindex=-1
961 unit.0.2.port.0.s.21.visible=1
962 unit.0.2.port.0.s.22.alias=
963 unit.0.2.port.0.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
964 unit.0.2.port.0.s.22.name=TriggerPort0[22]
965 unit.0.2.port.0.s.22.orderindex=-1
966 unit.0.2.port.0.s.22.visible=1
967 unit.0.2.port.0.s.23.alias=
968 unit.0.2.port.0.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
969 unit.0.2.port.0.s.23.name=TriggerPort0[23]
970 unit.0.2.port.0.s.23.orderindex=-1
971 unit.0.2.port.0.s.23.visible=1
972 unit.0.2.port.0.s.3.alias=
973 unit.0.2.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
974 unit.0.2.port.0.s.3.name=TriggerPort0[3]
975 unit.0.2.port.0.s.3.orderindex=-1
976 unit.0.2.port.0.s.3.visible=1
977 unit.0.2.port.0.s.4.alias=
978 unit.0.2.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
979 unit.0.2.port.0.s.4.name=TriggerPort0[4]
980 unit.0.2.port.0.s.4.orderindex=-1
981 unit.0.2.port.0.s.4.visible=1
982 unit.0.2.port.0.s.5.alias=
983 unit.0.2.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
984 unit.0.2.port.0.s.5.name=TriggerPort0[5]
985 unit.0.2.port.0.s.5.orderindex=-1
986 unit.0.2.port.0.s.5.visible=1
987 unit.0.2.port.0.s.6.alias=
988 unit.0.2.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
989 unit.0.2.port.0.s.6.name=TriggerPort0[6]
990 unit.0.2.port.0.s.6.orderindex=-1
991 unit.0.2.port.0.s.6.visible=1
992 unit.0.2.port.0.s.7.alias=
993 unit.0.2.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
994 unit.0.2.port.0.s.7.name=TriggerPort0[7]
995 unit.0.2.port.0.s.7.orderindex=-1
996 unit.0.2.port.0.s.7.visible=1
997 unit.0.2.port.0.s.8.alias=
998 unit.0.2.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
999 unit.0.2.port.0.s.8.name=TriggerPort0[8]
1000 unit.0.2.port.0.s.8.orderindex=-1
1001 unit.0.2.port.0.s.8.visible=1
1002 unit.0.2.port.0.s.9.alias=
1003 unit.0.2.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
1004 unit.0.2.port.0.s.9.name=TriggerPort0[9]
1005 unit.0.2.port.0.s.9.orderindex=-1
1006 unit.0.2.port.0.s.9.visible=1
1007 unit.0.2.portcount=1
1008 unit.0.2.rep_trigger.clobber=1
1009 unit.0.2.rep_trigger.dir=D\:\\MLAB\\Modules\\CPLD_FPGA\\XILINX_ChipScope\\MAKE\\BIN\\13.3
1010 unit.0.2.rep_trigger.filename=waveform
1011 unit.0.2.rep_trigger.format=ASCII
1012 unit.0.2.rep_trigger.loggingEnabled=0
1013 unit.0.2.rep_trigger.signals=All Signals/Buses
1014 unit.0.2.samplesPerTrigger=1
1015 unit.0.2.triggerCapture=1
1016 unit.0.2.triggerNSamplesTS=0
1017 unit.0.2.triggerPosition=0
1018 unit.0.2.triggerWindowCount=1
1019 unit.0.2.triggerWindowDepth=1024
1020 unit.0.2.triggerWindowTS=0
1021 unit.0.2.username=Logic Analyser
1022 unit.0.2.waveform.count=18
1023 unit.0.2.waveform.posn.0.channel=0
1024 unit.0.2.waveform.posn.0.name=P[0]
1025 unit.0.2.waveform.posn.0.type=signal
1026 unit.0.2.waveform.posn.1.channel=1
1027 unit.0.2.waveform.posn.1.name=P[1]
1028 unit.0.2.waveform.posn.1.type=signal
1029 unit.0.2.waveform.posn.10.channel=10
1030 unit.0.2.waveform.posn.10.name=P[10]
1031 unit.0.2.waveform.posn.10.type=signal
1032 unit.0.2.waveform.posn.11.channel=11
1033 unit.0.2.waveform.posn.11.name=P[11]
1034 unit.0.2.waveform.posn.11.type=signal
1035 unit.0.2.waveform.posn.12.channel=12
1036 unit.0.2.waveform.posn.12.name=P[12]
1037 unit.0.2.waveform.posn.12.type=signal
1038 unit.0.2.waveform.posn.13.channel=13
1039 unit.0.2.waveform.posn.13.name=P[13]
1040 unit.0.2.waveform.posn.13.type=signal
1041 unit.0.2.waveform.posn.14.channel=14
1042 unit.0.2.waveform.posn.14.name=P[14]
1043 unit.0.2.waveform.posn.14.type=signal
1044 unit.0.2.waveform.posn.15.channel=15
1045 unit.0.2.waveform.posn.15.name=P[15]
1046 unit.0.2.waveform.posn.15.type=signal
1047 unit.0.2.waveform.posn.16.channel=16
1048 unit.0.2.waveform.posn.16.name=P[16]
1049 unit.0.2.waveform.posn.16.type=signal
1050 unit.0.2.waveform.posn.17.channel=17
1051 unit.0.2.waveform.posn.17.name=P[17]
1052 unit.0.2.waveform.posn.17.type=signal
1053 unit.0.2.waveform.posn.2.channel=2
1054 unit.0.2.waveform.posn.2.name=P[2]
1055 unit.0.2.waveform.posn.2.type=signal
1056 unit.0.2.waveform.posn.3.channel=3
1057 unit.0.2.waveform.posn.3.name=P[3]
1058 unit.0.2.waveform.posn.3.type=signal
1059 unit.0.2.waveform.posn.4.channel=4
1060 unit.0.2.waveform.posn.4.name=P[4]
1061 unit.0.2.waveform.posn.4.type=signal
1062 unit.0.2.waveform.posn.5.channel=5
1063 unit.0.2.waveform.posn.5.name=P[5]
1064 unit.0.2.waveform.posn.5.type=signal
1065 unit.0.2.waveform.posn.6.channel=6
1066 unit.0.2.waveform.posn.6.name=P[6]
1067 unit.0.2.waveform.posn.6.type=signal
1068 unit.0.2.waveform.posn.7.channel=7
1069 unit.0.2.waveform.posn.7.name=P[7]
1070 unit.0.2.waveform.posn.7.type=signal
1071 unit.0.2.waveform.posn.8.channel=8
1072 unit.0.2.waveform.posn.8.name=P[8]
1073 unit.0.2.waveform.posn.8.type=signal
1074 unit.0.2.waveform.posn.9.channel=9
1075 unit.0.2.waveform.posn.9.name=P[9]
1076 unit.0.2.waveform.posn.9.type=signal