/Modules/ARM/ODROID-U3/CAM_PROFI/U3_MLAB_ADAPTER-B_Cu.gbr
1,54 → 1,28
G04 #@! TF.FileFunction,Copper,L2,Bot,Signal*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 0.201412101631+5319~19~ubuntu14.04.1-product) date Čt 11. prosinec 2014, 12:52:01 CET*
G04 Created by KiCad (PCBNEW 0.201503110816+5502~22~ubuntu14.10.1-product) date St 11. březen 2015, 18:04:12 CET*
%MOMM*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11R,2.000000X2.000000*%
%ADD12R,1.000000X1.000000*%
%ADD11R,1.300000X1.300000*%
%ADD12R,1.950720X2.499360*%
%ADD13R,1.651000X1.651000*%
%ADD14R,1.300000X1.300000*%
%ADD15C,6.000000*%
%ADD16C,4.000000*%
%ADD17C,1.000000*%
%ADD18C,0.500000*%
%ADD19C,0.400000*%
%ADD20C,0.300000*%
%ADD21C,0.254000*%
%ADD14C,6.000000*%
%ADD15C,4.000000*%
%ADD16R,1.800860X2.499360*%
%ADD17R,1.699260X1.300480*%
%ADD18R,2.499360X1.950720*%
%ADD19R,1.524000X1.524000*%
%ADD20C,1.000000*%
%ADD21C,0.500000*%
%ADD22C,0.400000*%
%ADD23C,0.300000*%
%ADD24C,0.254000*%
G04 APERTURE END LIST*
D10*
D11*
X145294600Y-58623200D03*
X149294600Y-58623200D03*
X136252200Y-56159400D03*
X140252200Y-56159400D03*
D12*
X151120600Y-50977800D03*
X153120600Y-50977800D03*
X128117600Y-43976800D03*
X128117600Y-41976800D03*
D13*
X155676600Y-46151800D03*
X153136600Y-46151800D03*
X150596600Y-46151800D03*
X150596600Y-48691800D03*
X153136600Y-48691800D03*
X155676600Y-48691800D03*
X137668000Y-41275000D03*
X137668000Y-38735000D03*
X142748000Y-41275000D03*
X142748000Y-38735000D03*
X147828000Y-41275000D03*
X147828000Y-38735000D03*
X132943600Y-44119800D03*
X132943600Y-41579800D03*
X132943600Y-39039800D03*
X130403600Y-39039800D03*
X130403600Y-41579800D03*
X130403600Y-44119800D03*
D14*
X143316600Y-55949800D03*
X143316600Y-53949800D03*
X145316600Y-55949800D03*
57,7 → 31,16
X147316600Y-53949800D03*
X149316600Y-55949800D03*
X149316600Y-53949800D03*
D12*
X137134600Y-56108600D03*
X140233400Y-56108600D03*
D13*
X137668000Y-41275000D03*
X137668000Y-38735000D03*
X142748000Y-41275000D03*
X142748000Y-38735000D03*
X147828000Y-41275000D03*
X147828000Y-38735000D03*
X140208000Y-41275000D03*
X140208000Y-38735000D03*
X145288000Y-41275000D03*
66,7 → 49,7
X118084600Y-38785800D03*
X120624600Y-41325800D03*
X120624600Y-38785800D03*
D14*
D11*
X116816600Y-53949800D03*
X118816600Y-53949800D03*
X116816600Y-55949800D03*
76,98 → 59,129
X125704600Y-38785800D03*
X123164600Y-41325800D03*
X123164600Y-38785800D03*
D15*
D14*
X153136600Y-40109800D03*
X112496600Y-40109800D03*
D16*
D15*
X153316600Y-55549800D03*
X112316600Y-55549800D03*
D15*
D14*
X132816600Y-50269800D03*
D16*
X124256800Y-54871620D03*
X124256800Y-58869580D03*
D17*
X153136600Y-48691800D02*
X153136600Y-46151800D01*
X126085600Y-48943260D03*
X126085600Y-45443140D03*
D18*
X128422400Y-55880000D03*
X128422400Y-58978800D03*
D19*
X155676600Y-46151800D03*
X155676600Y-48691800D03*
X153136600Y-46151800D03*
X153136600Y-48691800D03*
X150596600Y-46151800D03*
X150596600Y-48691800D03*
X132943600Y-44119800D03*
X130403600Y-44119800D03*
X132943600Y-41579800D03*
X130403600Y-41579800D03*
X132943600Y-39039800D03*
X130403600Y-39039800D03*
D20*
X149316600Y-55949800D02*
X149316600Y-57599800D01*
X149316600Y-57599800D02*
X147937600Y-58978800D01*
X147937600Y-58978800D02*
X130672080Y-58978800D01*
X130672080Y-58978800D02*
X128422400Y-58978800D01*
X156816601Y-53869799D02*
X153136600Y-50189798D01*
X149316600Y-57599800D02*
X150766601Y-59049801D01*
X156816601Y-57229801D02*
X156816601Y-53869799D01*
X150766601Y-59049801D02*
X154996601Y-59049801D01*
X154996601Y-59049801D02*
X156816601Y-57229801D01*
X153136600Y-50189798D02*
X153136600Y-48691800D01*
X149294600Y-55971800D02*
X149316600Y-55949800D01*
X149294600Y-58623200D02*
X149294600Y-55971800D01*
X151294600Y-58623200D02*
X151777200Y-59105800D01*
X149294600Y-58623200D02*
X151294600Y-58623200D01*
X154620600Y-50977800D02*
X153120600Y-50977800D01*
X156816601Y-53173801D02*
X154620600Y-50977800D01*
X156816601Y-57229801D02*
X156816601Y-53173801D01*
X154940602Y-59105800D02*
X156816601Y-57229801D01*
X151777200Y-59105800D02*
X154940602Y-59105800D01*
X153120600Y-48707800D02*
X153136600Y-48691800D01*
X153120600Y-50977800D02*
X153120600Y-48707800D01*
X153136600Y-46151800D02*
X153136600Y-48691800D01*
X124366020Y-58978800D02*
X124256800Y-58869580D01*
X128422400Y-58978800D02*
X124366020Y-58978800D01*
X140252200Y-56159400D02*
X140252200Y-47062900D01*
X143316600Y-55949800D02*
X140461800Y-55949800D01*
X140461800Y-55949800D02*
X140252200Y-56159400D01*
X143316600Y-55949800D02*
X140461800Y-55949800D01*
X140252200Y-47062900D02*
X134769100Y-41579800D01*
X134769100Y-41579800D02*
X132943600Y-41579800D01*
X132229100Y-41579800D01*
X132229100Y-41579800D02*
X130403600Y-41579800D01*
X134769100Y-41579800D02*
X132229100Y-41579800D01*
X140252200Y-47062900D02*
X134769100Y-41579800D01*
X140252200Y-56159400D02*
X140252200Y-47062900D01*
X128514600Y-41579800D02*
X128117600Y-41976800D01*
X130403600Y-41579800D02*
X128514600Y-41579800D01*
D18*
X137668000Y-38735000D02*
X137668000Y-41275000D01*
X132943600Y-41579800D01*
X126284990Y-45443140D02*
X126085600Y-45443140D01*
X128641600Y-41579800D02*
X130403600Y-41579800D01*
X127334156Y-42887244D02*
X128641600Y-41579800D01*
X127334156Y-44393974D02*
X127334156Y-42887244D01*
X127334156Y-44393974D02*
X126284990Y-45443140D01*
D21*
X137668000Y-42600500D02*
X143316600Y-48249100D01*
X143316600Y-52799800D02*
X143316600Y-53949800D01*
X143316600Y-48249100D02*
X143316600Y-52799800D01*
X137668000Y-42600500D02*
X143316600Y-48249100D01*
X137668000Y-41275000D02*
X137668000Y-42600500D01*
X137668000Y-38735000D02*
X137668000Y-41275000D01*
X142748000Y-41275000D02*
X142748000Y-42600500D01*
X142748000Y-38735000D02*
X142748000Y-41275000D01*
X145316600Y-52799800D02*
X145316600Y-53949800D01*
X145316600Y-45169100D02*
X145316600Y-52799800D01*
X142748000Y-42600500D02*
X145316600Y-45169100D01*
X142748000Y-41275000D02*
X142748000Y-42600500D01*
D19*
X147316600Y-53949800D02*
X147316600Y-53793800D01*
D18*
X145316600Y-52799800D02*
X145316600Y-53949800D01*
X147828000Y-38735000D02*
X147828000Y-41275000D01*
X147828000Y-41275000D02*
X147828000Y-53438400D01*
X147828000Y-53438400D02*
X147316600Y-53949800D01*
X147828000Y-41275000D02*
X147828000Y-53438400D01*
D20*
D22*
X147316600Y-53949800D02*
X147316600Y-53793800D01*
D23*
X147316600Y-55949800D02*
X147313600Y-55949800D01*
X147313600Y-55949800D02*
X146366599Y-55002799D01*
X146366599Y-55002799D02*
X146366599Y-52899799D01*
X147316600Y-55949800D02*
X147313600Y-55949800D01*
D18*
X145288000Y-38735000D02*
X145288000Y-41275000D01*
D21*
X146366599Y-43679099D02*
X146366599Y-52899799D01*
X145288000Y-42600500D02*
174,42 → 188,52
X146366599Y-43679099D01*
X145288000Y-41275000D02*
X145288000Y-42600500D01*
D20*
X145288000Y-38735000D02*
X145288000Y-41275000D01*
X140208000Y-42600500D02*
X144366599Y-46759099D01*
X144366599Y-46759099D02*
X144366599Y-52899799D01*
D23*
X145316600Y-55839798D02*
X144366599Y-54889797D01*
X145316600Y-55949800D02*
X145316600Y-55839798D01*
X145316600Y-55839798D02*
X144366599Y-54889797D01*
X144366599Y-54889797D02*
X144366599Y-52899799D01*
D18*
D21*
X140208000Y-41275000D02*
X140208000Y-42600500D01*
X140208000Y-38735000D02*
X140208000Y-41275000D01*
X144366599Y-46759099D02*
X144366599Y-52899799D01*
X140208000Y-42600500D02*
X144366599Y-46759099D01*
X140208000Y-41275000D02*
X140208000Y-42600500D01*
X118084600Y-41325800D02*
X118084600Y-52681800D01*
X118084600Y-52681800D02*
X116816600Y-53949800D01*
X118084600Y-38785800D02*
X118084600Y-41325800D01*
X118084600Y-52681800D02*
X116816600Y-53949800D01*
X118084600Y-41325800D02*
X118084600Y-52681800D01*
X119966600Y-55949800D02*
X118816600Y-55949800D01*
X123164600Y-38785800D02*
X123164600Y-41325800D01*
X123164600Y-42651300D02*
X121561267Y-44254633D01*
X121561267Y-44254633D02*
X121561267Y-54355133D01*
X123164600Y-41325800D02*
X123164600Y-42651300D01*
X121561267Y-54355133D02*
X119966600Y-55949800D01*
X120624600Y-41325800D02*
X120624600Y-53291800D01*
X120624600Y-53291800D02*
X119966600Y-53949800D01*
X120624600Y-38785800D02*
X120624600Y-41325800D01*
X120624600Y-53291800D02*
X119966600Y-53949800D01*
X120624600Y-41325800D02*
X120624600Y-53291800D01*
X119966600Y-53949800D02*
X118816600Y-53949800D01*
X125704600Y-38785800D02*
X125704600Y-41325800D01*
X125704600Y-41325800D02*
X125704600Y-51739800D01*
X125704600Y-51739800D02*
X119938800Y-57505600D01*
X116816600Y-57099800D02*
X116816600Y-55949800D01*
X117222400Y-57505600D02*
216,73 → 240,57
X116816600Y-57099800D01*
X119938800Y-57505600D02*
X117222400Y-57505600D01*
X123164600Y-38785800D02*
X123164600Y-41325800D01*
X119966600Y-55949800D02*
X118816600Y-55949800D01*
X123164600Y-41325800D02*
X123164600Y-52751800D01*
X123164600Y-52751800D02*
X119966600Y-55949800D01*
D21*
X122504992Y-54939408D02*
X119938800Y-57505600D01*
X122504992Y-45850908D02*
X122504992Y-54939408D01*
X125704600Y-42651300D02*
X122504992Y-45850908D01*
X125704600Y-41325800D02*
X125704600Y-42651300D01*
D24*
G36*
X157275600Y-60376600D02*
X108357600Y-60376600D01*
X108357600Y-35970800D01*
X157275600Y-35970800D01*
X157275600Y-52027668D01*
X155423166Y-50175234D01*
X155054946Y-49929197D01*
X154620600Y-49842800D01*
X154508270Y-49842800D01*
X154559477Y-49766940D01*
X154609540Y-49517300D01*
X154609540Y-47866300D01*
X154562563Y-47624177D01*
X154428794Y-47420539D01*
X154559477Y-47226940D01*
X154609540Y-46977300D01*
X154609540Y-45326300D01*
X154562563Y-45084177D01*
X154422773Y-44871373D01*
X154211740Y-44728923D01*
X153962100Y-44678860D01*
X152311100Y-44678860D01*
X152068977Y-44725837D01*
X151856173Y-44865627D01*
X151713723Y-45076660D01*
X151663660Y-45326300D01*
X151663660Y-46977300D01*
X151710637Y-47219423D01*
X151844405Y-47423060D01*
X151713723Y-47616660D01*
X151663660Y-47866300D01*
X151663660Y-49517300D01*
X151710637Y-49759423D01*
X151850427Y-49972227D01*
X151985600Y-50063470D01*
X151985600Y-50415767D01*
X151973160Y-50477800D01*
X151973160Y-51477800D01*
X152020137Y-51719923D01*
X152159927Y-51932727D01*
X152370960Y-52075177D01*
X152620600Y-52125240D01*
X153620600Y-52125240D01*
X153684716Y-52112800D01*
X154150468Y-52112800D01*
X155681601Y-53643933D01*
X157275600Y-52723666D02*
X154399016Y-49847082D01*
X154495977Y-49703440D01*
X154546040Y-49453800D01*
X154546040Y-47929800D01*
X154499063Y-47687677D01*
X154359273Y-47474873D01*
X154281058Y-47422077D01*
X154353527Y-47374473D01*
X154495977Y-47163440D01*
X154546040Y-46913800D01*
X154546040Y-45389800D01*
X154499063Y-45147677D01*
X154359273Y-44934873D01*
X154148240Y-44792423D01*
X153898600Y-44742360D01*
X152374600Y-44742360D01*
X152132477Y-44789337D01*
X151919673Y-44929127D01*
X151777223Y-45140160D01*
X151727160Y-45389800D01*
X151727160Y-46913800D01*
X151774137Y-47155923D01*
X151913927Y-47368727D01*
X151992141Y-47421522D01*
X151919673Y-47469127D01*
X151777223Y-47680160D01*
X151727160Y-47929800D01*
X151727160Y-49453800D01*
X151774137Y-49695923D01*
X151913927Y-49908727D01*
X152001600Y-49967907D01*
X152001600Y-50189798D01*
X152087997Y-50624144D01*
X152334034Y-50992364D01*
X155681601Y-54339931D01*
X155681601Y-56759669D01*
X154470470Y-57970800D01*
X152247332Y-57970800D01*
X152097166Y-57820634D01*
X151728946Y-57574597D01*
X151294600Y-57488200D01*
X150915847Y-57488200D01*
X150895063Y-57381077D01*
X150755273Y-57168273D01*
X150544240Y-57025823D01*
X150456758Y-57008279D01*
X154526469Y-57914801D01*
X151236732Y-57914801D01*
X150451600Y-57129668D01*
X150451600Y-57015921D01*
X150563977Y-56849440D01*
X150614040Y-56599800D01*
X150614040Y-55299800D01*
350,26 → 358,25
X135571666Y-40777234D01*
X135203446Y-40531197D01*
X134769100Y-40444800D01*
X134325303Y-40444800D01*
X134229773Y-40299373D01*
X134018740Y-40156923D01*
X133769100Y-40106860D01*
X132118100Y-40106860D01*
X131875977Y-40153837D01*
X131672339Y-40287605D01*
X131478740Y-40156923D01*
X131229100Y-40106860D01*
X129578100Y-40106860D01*
X129335977Y-40153837D01*
X129123173Y-40293627D01*
X129021129Y-40444800D01*
X128514600Y-40444800D01*
X128080254Y-40531197D01*
X127712034Y-40777234D01*
X127659908Y-40829360D01*
X127617600Y-40829360D01*
X127375477Y-40876337D01*
X127177540Y-41006360D01*
X134220090Y-40444800D01*
X134166273Y-40362873D01*
X133955240Y-40220423D01*
X133705600Y-40170360D01*
X132181600Y-40170360D01*
X131939477Y-40217337D01*
X131726673Y-40357127D01*
X131673877Y-40435341D01*
X131626273Y-40362873D01*
X131415240Y-40220423D01*
X131165600Y-40170360D01*
X129641600Y-40170360D01*
X129399477Y-40217337D01*
X129186673Y-40357127D01*
X129127492Y-40444800D01*
X128641600Y-40444800D01*
X128207254Y-40531197D01*
X127839034Y-40777234D01*
X127177540Y-41438728D01*
X127177540Y-40500300D01*
X127130563Y-40258177D01*
X126996794Y-40054539D01*
447,150 → 454,132
X120277474Y-58323233D01*
X120277475Y-58323233D01*
X120564590Y-58131390D01*
X126330386Y-52365592D01*
X126330390Y-52365590D01*
X126330390Y-52365589D01*
X126458575Y-52173746D01*
X126522233Y-52078475D01*
X126522234Y-52078474D01*
X126589600Y-51739800D01*
X126589601Y-51739800D01*
X126589600Y-51739794D01*
X126589600Y-42787195D01*
X126772223Y-42751763D01*
X126985027Y-42611973D01*
X126993850Y-42598901D01*
X127017137Y-42718923D01*
X127156927Y-42931727D01*
X127367960Y-43074177D01*
X127617600Y-43124240D01*
X128617600Y-43124240D01*
X128859723Y-43077263D01*
X129072527Y-42937473D01*
X129122402Y-42863585D01*
X129328460Y-43002677D01*
X129578100Y-43052740D01*
X131229100Y-43052740D01*
X131471223Y-43005763D01*
X131674860Y-42871994D01*
X131868460Y-43002677D01*
X132118100Y-43052740D01*
X133769100Y-43052740D01*
X134011223Y-43005763D01*
X134224027Y-42865973D01*
X134315148Y-42730980D01*
X123130778Y-55565200D01*
X123130782Y-55565198D01*
X123130782Y-55565197D01*
X123258967Y-55373354D01*
X123322625Y-55278083D01*
X123322626Y-55278082D01*
X123389992Y-54939408D01*
X123389993Y-54939408D01*
X123389992Y-54939402D01*
X123389992Y-46217487D01*
X124588530Y-45018949D01*
X124588530Y-46093380D01*
X124635507Y-46335503D01*
X124775297Y-46548307D01*
X124986330Y-46690757D01*
X125235970Y-46740820D01*
X126935230Y-46740820D01*
X127177353Y-46693843D01*
X127390157Y-46554053D01*
X127532607Y-46343020D01*
X127582670Y-46093380D01*
X127582670Y-45750592D01*
X128136722Y-45196540D01*
X128382759Y-44828320D01*
X128382759Y-44828319D01*
X128469156Y-44393974D01*
X128469156Y-43357376D01*
X129111732Y-42714800D01*
X129127109Y-42714800D01*
X129180927Y-42796727D01*
X129391960Y-42939177D01*
X129641600Y-42989240D01*
X131165600Y-42989240D01*
X131407723Y-42942263D01*
X131620527Y-42802473D01*
X131673322Y-42724258D01*
X131720927Y-42796727D01*
X131931960Y-42939177D01*
X132181600Y-42989240D01*
X133705600Y-42989240D01*
X133947723Y-42942263D01*
X134160527Y-42802473D01*
X134219707Y-42714800D01*
X134298968Y-42714800D01*
X139117200Y-47533032D01*
X139117200Y-54538152D01*
X139010077Y-54558937D01*
X138797273Y-54698727D01*
X138654823Y-54909760D01*
X138604760Y-55159400D01*
X138604760Y-57159400D01*
X138651737Y-57401523D01*
X138791527Y-57614327D01*
X139002560Y-57756777D01*
X139252200Y-57806840D01*
X141252200Y-57806840D01*
X141494323Y-57759863D01*
X141707127Y-57620073D01*
X141849577Y-57409040D01*
X141899640Y-57159400D01*
X141899640Y-57084800D01*
X142250478Y-57084800D01*
X142416960Y-57197177D01*
X142666600Y-57247240D01*
X143966600Y-57247240D01*
X144208723Y-57200263D01*
X144316574Y-57129415D01*
X144416960Y-57197177D01*
X144666600Y-57247240D01*
X145966600Y-57247240D01*
X146208723Y-57200263D01*
X146316574Y-57129415D01*
X146416960Y-57197177D01*
X146666600Y-57247240D01*
X147782490Y-57247240D01*
X147697223Y-57373560D01*
X147647160Y-57623200D01*
X147647160Y-59623200D01*
X147694137Y-59865323D01*
X147833927Y-60078127D01*
X148044960Y-60220577D01*
X148294600Y-60270640D01*
X150294600Y-60270640D01*
X150536723Y-60223663D01*
X150749527Y-60083873D01*
X150891977Y-59872840D01*
X150899849Y-59833582D01*
X150974633Y-59908366D01*
X150974634Y-59908366D01*
X151342854Y-60154403D01*
X151777200Y-60240800D01*
X154940602Y-60240800D01*
X155374947Y-60154403D01*
X155374948Y-60154403D01*
X155743168Y-59908366D01*
X157275600Y-58375934D01*
X157275600Y-60376600D01*
X157275600Y-60376600D01*
G37*
X157275600Y-60376600D02*
X139117200Y-54238805D01*
X139015917Y-54258457D01*
X138803113Y-54398247D01*
X138660663Y-54609280D01*
X138610600Y-54858920D01*
X138610600Y-57358280D01*
X138657577Y-57600403D01*
X138797367Y-57813207D01*
X138842689Y-57843800D01*
X130672080Y-57843800D01*
X130288546Y-57843800D01*
X130272543Y-57761317D01*
X130132753Y-57548513D01*
X129921720Y-57406063D01*
X129672080Y-57356000D01*
X127172720Y-57356000D01*
X126930597Y-57402977D01*
X126717793Y-57542767D01*
X126575343Y-57753800D01*
X126557294Y-57843800D01*
X125804670Y-57843800D01*
X125804670Y-57619900D01*
X125757693Y-57377777D01*
X125617903Y-57164973D01*
X125406870Y-57022523D01*
X125157230Y-56972460D01*
X123356370Y-56972460D01*
X123114247Y-57019437D01*
X122901443Y-57159227D01*
X122758993Y-57370260D01*
X122708930Y-57619900D01*
X122708930Y-60119260D01*
X122755907Y-60361383D01*
X122765902Y-60376600D01*
X108357600Y-60376600D01*
X108357600Y-35970800D01*
X157275600Y-35970800D01*
X157275600Y-52027668D01*
X155423166Y-50175234D01*
X155054946Y-49929197D01*
X154620600Y-49842800D01*
X154508270Y-49842800D01*
X154559477Y-49766940D01*
X154609540Y-49517300D01*
X154609540Y-47866300D01*
X154562563Y-47624177D01*
X154428794Y-47420539D01*
X154559477Y-47226940D01*
X154609540Y-46977300D01*
X154609540Y-45326300D01*
X154562563Y-45084177D01*
X154422773Y-44871373D01*
X154211740Y-44728923D01*
X153962100Y-44678860D01*
X152311100Y-44678860D01*
X152068977Y-44725837D01*
X151856173Y-44865627D01*
X151713723Y-45076660D01*
X151663660Y-45326300D01*
X151663660Y-46977300D01*
X151710637Y-47219423D01*
X151844405Y-47423060D01*
X151713723Y-47616660D01*
X151663660Y-47866300D01*
X151663660Y-49517300D01*
X151710637Y-49759423D01*
X151850427Y-49972227D01*
X151985600Y-50063470D01*
X151985600Y-50415767D01*
X151973160Y-50477800D01*
X151973160Y-51477800D01*
X152020137Y-51719923D01*
X152159927Y-51932727D01*
X152370960Y-52075177D01*
X152620600Y-52125240D01*
X153620600Y-52125240D01*
X153684716Y-52112800D01*
X154150468Y-52112800D01*
X155681601Y-53643933D01*
X157275600Y-52723666D01*
X157275600Y-52723666D01*
G37*
X157275600Y-52723666D02*
X154399016Y-49847082D01*
X154495977Y-49703440D01*
X154546040Y-49453800D01*
X154546040Y-47929800D01*
X154499063Y-47687677D01*
X154359273Y-47474873D01*
X154281058Y-47422077D01*
X154353527Y-47374473D01*
X154495977Y-47163440D01*
X154546040Y-46913800D01*
X154546040Y-45389800D01*
X154499063Y-45147677D01*
X154359273Y-44934873D01*
X154148240Y-44792423D01*
X153898600Y-44742360D01*
X152374600Y-44742360D01*
X152132477Y-44789337D01*
X151919673Y-44929127D01*
X151777223Y-45140160D01*
X151727160Y-45389800D01*
X151727160Y-46913800D01*
X151774137Y-47155923D01*
X151913927Y-47368727D01*
X151992141Y-47421522D01*
X151919673Y-47469127D01*
X151777223Y-47680160D01*
X151727160Y-47929800D01*
X151727160Y-49453800D01*
X151774137Y-49695923D01*
X151913927Y-49908727D01*
X152001600Y-49967907D01*
X152001600Y-50189798D01*
X152087997Y-50624144D01*
X152334034Y-50992364D01*
X155681601Y-54339931D01*
X155681601Y-56759669D01*
X154470470Y-57970800D01*
X152247332Y-57970800D01*
X152097166Y-57820634D01*
X151728946Y-57574597D01*
X151294600Y-57488200D01*
X150915847Y-57488200D01*
X150895063Y-57381077D01*
X150755273Y-57168273D01*
X150544240Y-57025823D01*
X150456758Y-57008279D01*
X154526469Y-57914801D01*
X151236732Y-57914801D01*
X150451600Y-57129668D01*
X150451600Y-57015921D01*
X150563977Y-56849440D01*
X150614040Y-56599800D01*
X150614040Y-55299800D01*
658,26 → 647,25
X135571666Y-40777234D01*
X135203446Y-40531197D01*
X134769100Y-40444800D01*
X134325303Y-40444800D01*
X134229773Y-40299373D01*
X134018740Y-40156923D01*
X133769100Y-40106860D01*
X132118100Y-40106860D01*
X131875977Y-40153837D01*
X131672339Y-40287605D01*
X131478740Y-40156923D01*
X131229100Y-40106860D01*
X129578100Y-40106860D01*
X129335977Y-40153837D01*
X129123173Y-40293627D01*
X129021129Y-40444800D01*
X128514600Y-40444800D01*
X128080254Y-40531197D01*
X127712034Y-40777234D01*
X127659908Y-40829360D01*
X127617600Y-40829360D01*
X127375477Y-40876337D01*
X127177540Y-41006360D01*
X134220090Y-40444800D01*
X134166273Y-40362873D01*
X133955240Y-40220423D01*
X133705600Y-40170360D01*
X132181600Y-40170360D01*
X131939477Y-40217337D01*
X131726673Y-40357127D01*
X131673877Y-40435341D01*
X131626273Y-40362873D01*
X131415240Y-40220423D01*
X131165600Y-40170360D01*
X129641600Y-40170360D01*
X129399477Y-40217337D01*
X129186673Y-40357127D01*
X129127492Y-40444800D01*
X128641600Y-40444800D01*
X128207254Y-40531197D01*
X127839034Y-40777234D01*
X127177540Y-41438728D01*
X127177540Y-40500300D01*
X127130563Y-40258177D01*
X126996794Y-40054539D01*
755,89 → 743,87
X120277474Y-58323233D01*
X120277475Y-58323233D01*
X120564590Y-58131390D01*
X126330386Y-52365592D01*
X126330390Y-52365590D01*
X126330390Y-52365589D01*
X126458575Y-52173746D01*
X126522233Y-52078475D01*
X126522234Y-52078474D01*
X126589600Y-51739800D01*
X126589601Y-51739800D01*
X126589600Y-51739794D01*
X126589600Y-42787195D01*
X126772223Y-42751763D01*
X126985027Y-42611973D01*
X126993850Y-42598901D01*
X127017137Y-42718923D01*
X127156927Y-42931727D01*
X127367960Y-43074177D01*
X127617600Y-43124240D01*
X128617600Y-43124240D01*
X128859723Y-43077263D01*
X129072527Y-42937473D01*
X129122402Y-42863585D01*
X129328460Y-43002677D01*
X129578100Y-43052740D01*
X131229100Y-43052740D01*
X131471223Y-43005763D01*
X131674860Y-42871994D01*
X131868460Y-43002677D01*
X132118100Y-43052740D01*
X133769100Y-43052740D01*
X134011223Y-43005763D01*
X134224027Y-42865973D01*
X134315148Y-42730980D01*
X123130778Y-55565200D01*
X123130782Y-55565198D01*
X123130782Y-55565197D01*
X123258967Y-55373354D01*
X123322625Y-55278083D01*
X123322626Y-55278082D01*
X123389992Y-54939408D01*
X123389993Y-54939408D01*
X123389992Y-54939402D01*
X123389992Y-46217487D01*
X124588530Y-45018949D01*
X124588530Y-46093380D01*
X124635507Y-46335503D01*
X124775297Y-46548307D01*
X124986330Y-46690757D01*
X125235970Y-46740820D01*
X126935230Y-46740820D01*
X127177353Y-46693843D01*
X127390157Y-46554053D01*
X127532607Y-46343020D01*
X127582670Y-46093380D01*
X127582670Y-45750592D01*
X128136722Y-45196540D01*
X128382759Y-44828320D01*
X128382759Y-44828319D01*
X128469156Y-44393974D01*
X128469156Y-43357376D01*
X129111732Y-42714800D01*
X129127109Y-42714800D01*
X129180927Y-42796727D01*
X129391960Y-42939177D01*
X129641600Y-42989240D01*
X131165600Y-42989240D01*
X131407723Y-42942263D01*
X131620527Y-42802473D01*
X131673322Y-42724258D01*
X131720927Y-42796727D01*
X131931960Y-42939177D01*
X132181600Y-42989240D01*
X133705600Y-42989240D01*
X133947723Y-42942263D01*
X134160527Y-42802473D01*
X134219707Y-42714800D01*
X134298968Y-42714800D01*
X139117200Y-47533032D01*
X139117200Y-54538152D01*
X139010077Y-54558937D01*
X138797273Y-54698727D01*
X138654823Y-54909760D01*
X138604760Y-55159400D01*
X138604760Y-57159400D01*
X138651737Y-57401523D01*
X138791527Y-57614327D01*
X139002560Y-57756777D01*
X139252200Y-57806840D01*
X141252200Y-57806840D01*
X141494323Y-57759863D01*
X141707127Y-57620073D01*
X141849577Y-57409040D01*
X141899640Y-57159400D01*
X141899640Y-57084800D01*
X142250478Y-57084800D01*
X142416960Y-57197177D01*
X142666600Y-57247240D01*
X143966600Y-57247240D01*
X144208723Y-57200263D01*
X144316574Y-57129415D01*
X144416960Y-57197177D01*
X144666600Y-57247240D01*
X145966600Y-57247240D01*
X146208723Y-57200263D01*
X146316574Y-57129415D01*
X146416960Y-57197177D01*
X146666600Y-57247240D01*
X147782490Y-57247240D01*
X147697223Y-57373560D01*
X147647160Y-57623200D01*
X147647160Y-59623200D01*
X147694137Y-59865323D01*
X147833927Y-60078127D01*
X148044960Y-60220577D01*
X148294600Y-60270640D01*
X150294600Y-60270640D01*
X150536723Y-60223663D01*
X150749527Y-60083873D01*
X150891977Y-59872840D01*
X150899849Y-59833582D01*
X150974633Y-59908366D01*
X150974634Y-59908366D01*
X151342854Y-60154403D01*
X151777200Y-60240800D01*
X154940602Y-60240800D01*
X155374947Y-60154403D01*
X155374948Y-60154403D01*
X155743168Y-59908366D01*
X157275600Y-58375934D01*
X157275600Y-60376600D01*
X139117200Y-54238805D01*
X139015917Y-54258457D01*
X138803113Y-54398247D01*
X138660663Y-54609280D01*
X138610600Y-54858920D01*
X138610600Y-57358280D01*
X138657577Y-57600403D01*
X138797367Y-57813207D01*
X138842689Y-57843800D01*
X130672080Y-57843800D01*
X130288546Y-57843800D01*
X130272543Y-57761317D01*
X130132753Y-57548513D01*
X129921720Y-57406063D01*
X129672080Y-57356000D01*
X127172720Y-57356000D01*
X126930597Y-57402977D01*
X126717793Y-57542767D01*
X126575343Y-57753800D01*
X126557294Y-57843800D01*
X125804670Y-57843800D01*
X125804670Y-57619900D01*
X125757693Y-57377777D01*
X125617903Y-57164973D01*
X125406870Y-57022523D01*
X125157230Y-56972460D01*
X123356370Y-56972460D01*
X123114247Y-57019437D01*
X122901443Y-57159227D01*
X122758993Y-57370260D01*
X122708930Y-57619900D01*
X122708930Y-60119260D01*
X122755907Y-60361383D01*
X122765902Y-60376600D01*
X108357600Y-60376600D01*
X108357600Y-35970800D01*
X157275600Y-35970800D01*
X157275600Y-52723666D01*
M02*
/Modules/ARM/ODROID-U3/CAM_PROFI/U3_MLAB_ADAPTER-B_Mask.gbr
1,49 → 1,23
G04 #@! TF.FileFunction,Soldermask,Bot*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 0.201412101631+5319~19~ubuntu14.04.1-product) date Čt 11. prosinec 2014, 12:52:01 CET*
G04 Created by KiCad (PCBNEW 0.201503110816+5502~22~ubuntu14.10.1-product) date St 11. březen 2015, 18:04:12 CET*
%MOMM*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11R,2.000000X2.000000*%
%ADD12R,1.000000X1.000000*%
%ADD11R,1.300000X1.300000*%
%ADD12R,1.950720X2.499360*%
%ADD13R,1.651000X1.651000*%
%ADD14R,1.300000X1.300000*%
%ADD15C,6.000000*%
%ADD16C,4.000000*%
%ADD14C,6.000000*%
%ADD15C,4.000000*%
%ADD16R,1.800860X2.499360*%
%ADD17R,1.699260X1.300480*%
%ADD18R,2.499360X1.950720*%
%ADD19R,1.524000X1.524000*%
G04 APERTURE END LIST*
D10*
D11*
X145294600Y-58623200D03*
X149294600Y-58623200D03*
X136252200Y-56159400D03*
X140252200Y-56159400D03*
D12*
X151120600Y-50977800D03*
X153120600Y-50977800D03*
X128117600Y-43976800D03*
X128117600Y-41976800D03*
D13*
X155676600Y-46151800D03*
X153136600Y-46151800D03*
X150596600Y-46151800D03*
X150596600Y-48691800D03*
X153136600Y-48691800D03*
X155676600Y-48691800D03*
X137668000Y-41275000D03*
X137668000Y-38735000D03*
X142748000Y-41275000D03*
X142748000Y-38735000D03*
X147828000Y-41275000D03*
X147828000Y-38735000D03*
X132943600Y-44119800D03*
X132943600Y-41579800D03*
X132943600Y-39039800D03*
X130403600Y-39039800D03*
X130403600Y-41579800D03*
X130403600Y-44119800D03*
D14*
X143316600Y-55949800D03*
X143316600Y-53949800D03*
X145316600Y-55949800D03*
52,7 → 26,16
X147316600Y-53949800D03*
X149316600Y-55949800D03*
X149316600Y-53949800D03*
D12*
X137134600Y-56108600D03*
X140233400Y-56108600D03*
D13*
X137668000Y-41275000D03*
X137668000Y-38735000D03*
X142748000Y-41275000D03*
X142748000Y-38735000D03*
X147828000Y-41275000D03*
X147828000Y-38735000D03*
X140208000Y-41275000D03*
X140208000Y-38735000D03*
X145288000Y-41275000D03*
61,7 → 44,7
X118084600Y-38785800D03*
X120624600Y-41325800D03*
X120624600Y-38785800D03*
D14*
D11*
X116816600Y-53949800D03*
X118816600Y-53949800D03*
X116816600Y-55949800D03*
71,12 → 54,34
X125704600Y-38785800D03*
X123164600Y-41325800D03*
X123164600Y-38785800D03*
D15*
D14*
X153136600Y-40109800D03*
X112496600Y-40109800D03*
D16*
D15*
X153316600Y-55549800D03*
X112316600Y-55549800D03*
D15*
D14*
X132816600Y-50269800D03*
D16*
X124256800Y-54871620D03*
X124256800Y-58869580D03*
D17*
X126085600Y-48943260D03*
X126085600Y-45443140D03*
D18*
X128422400Y-55880000D03*
X128422400Y-58978800D03*
D19*
X155676600Y-46151800D03*
X155676600Y-48691800D03*
X153136600Y-46151800D03*
X153136600Y-48691800D03*
X150596600Y-46151800D03*
X150596600Y-48691800D03*
X132943600Y-44119800D03*
X130403600Y-44119800D03*
X132943600Y-41579800D03*
X130403600Y-41579800D03*
X132943600Y-39039800D03*
X130403600Y-39039800D03*
M02*
/Modules/ARM/ODROID-U3/CAM_PROFI/U3_MLAB_ADAPTER-Edge_Cuts.gbr
1,7 → 1,7
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 0.201412101631+5319~19~ubuntu14.04.1-product) date Čt 11. prosinec 2014, 12:52:01 CET*
G04 Created by KiCad (PCBNEW 0.201503110816+5502~22~ubuntu14.10.1-product) date St 11. březen 2015, 18:04:12 CET*
%MOMM*%
G01*
G04 APERTURE LIST*
8,8 → 8,6
%ADD10C,0.100000*%
G04 APERTURE END LIST*
D10*
X106807000Y-34569400D02*
X106857800Y-34569400D01*
X107672600Y-35285800D02*
X157960600Y-35285800D01*
X157960600Y-61061600D02*
/Modules/ARM/ODROID-U3/CAM_PROFI/U3_MLAB_ADAPTER-F_SilkS.gbr
1,7 → 1,7
G04 #@! TF.FileFunction,Legend,Top*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 0.201412101631+5319~19~ubuntu14.04.1-product) date Čt 11. prosinec 2014, 12:52:01 CET*
G04 Created by KiCad (PCBNEW 0.201503110816+5502~22~ubuntu14.10.1-product) date St 11. březen 2015, 18:04:12 CET*
%MOMM*%
G01*
G04 APERTURE LIST*
9,233 → 9,479
%ADD11C,0.300000*%
%ADD12C,0.200000*%
%ADD13C,0.254000*%
%ADD14C,0.203200*%
%ADD15C,0.150000*%
%ADD14C,0.150000*%
%ADD15C,0.203200*%
%ADD16C,0.050000*%
G04 APERTURE END LIST*
D10*
D11*
X117558600Y-58030371D02*
X117844314Y-58030371D01*
X117987172Y-58101800D01*
X118130029Y-58244657D01*
X118201457Y-58530371D01*
X118201457Y-59030371D01*
X118130029Y-59316086D01*
X117987172Y-59458943D01*
X117844314Y-59530371D01*
X117558600Y-59530371D01*
X117415743Y-59458943D01*
X117272886Y-59316086D01*
X117201457Y-59030371D01*
X117201457Y-58530371D01*
X117272886Y-58244657D01*
X117415743Y-58101800D01*
X117558600Y-58030371D01*
X118844315Y-59530371D02*
X118844315Y-58030371D01*
X119201458Y-58030371D01*
X119415743Y-58101800D01*
X119558601Y-58244657D01*
X119630029Y-58387514D01*
X119701458Y-58673229D01*
X119701458Y-58887514D01*
X119630029Y-59173229D01*
X119558601Y-59316086D01*
X119415743Y-59458943D01*
X119201458Y-59530371D01*
X118844315Y-59530371D01*
X121201458Y-59530371D02*
X120701458Y-58816086D01*
X120344315Y-59530371D02*
X120344315Y-58030371D01*
X120915743Y-58030371D01*
X121058601Y-58101800D01*
X121130029Y-58173229D01*
X121201458Y-58316086D01*
X121201458Y-58530371D01*
X121130029Y-58673229D01*
X121058601Y-58744657D01*
X120915743Y-58816086D01*
X120344315Y-58816086D01*
X122130029Y-58030371D02*
X122415743Y-58030371D01*
X122558601Y-58101800D01*
X122701458Y-58244657D01*
X122772886Y-58530371D01*
X122772886Y-59030371D01*
X122701458Y-59316086D01*
X122558601Y-59458943D01*
X122415743Y-59530371D01*
X122130029Y-59530371D01*
X121987172Y-59458943D01*
X121844315Y-59316086D01*
X121772886Y-59030371D01*
X121772886Y-58530371D01*
X121844315Y-58244657D01*
X121987172Y-58101800D01*
X122130029Y-58030371D01*
X123415744Y-59530371D02*
X123415744Y-58030371D01*
X124130030Y-59530371D02*
X124130030Y-58030371D01*
X124487173Y-58030371D01*
X124701458Y-58101800D01*
X124844316Y-58244657D01*
X124915744Y-58387514D01*
X124987173Y-58673229D01*
X124987173Y-58887514D01*
X124915744Y-59173229D01*
X124844316Y-59316086D01*
X124701458Y-59458943D01*
X124487173Y-59530371D01*
X124130030Y-59530371D01*
X125630030Y-58958943D02*
X126772887Y-58958943D01*
X127487173Y-58030371D02*
X127487173Y-59244657D01*
X127558601Y-59387514D01*
X127630030Y-59458943D01*
X127772887Y-59530371D01*
X128058601Y-59530371D01*
X128201459Y-59458943D01*
X128272887Y-59387514D01*
X128344316Y-59244657D01*
X128344316Y-58030371D01*
X128915745Y-58030371D02*
X129844316Y-58030371D01*
X129344316Y-58601800D01*
X129558602Y-58601800D01*
X129701459Y-58673229D01*
X129772888Y-58744657D01*
X129844316Y-58887514D01*
X129844316Y-59244657D01*
X129772888Y-59387514D01*
X129701459Y-59458943D01*
X129558602Y-59530371D01*
X129130030Y-59530371D01*
X128987173Y-59458943D01*
X128915745Y-59387514D01*
X131630030Y-59530371D02*
X131630030Y-58030371D01*
X132130030Y-59101800D01*
X132630030Y-58030371D01*
X132630030Y-59530371D01*
X134058602Y-59530371D02*
X133344316Y-59530371D01*
X133344316Y-58030371D01*
X134487173Y-59101800D02*
X135201459Y-59101800D01*
X134344316Y-59530371D02*
X134844316Y-58030371D01*
X135344316Y-59530371D01*
X136344316Y-58744657D02*
X136558602Y-58816086D01*
X136630030Y-58887514D01*
X136701459Y-59030371D01*
X136701459Y-59244657D01*
X136630030Y-59387514D01*
X136558602Y-59458943D01*
X136415744Y-59530371D01*
X135844316Y-59530371D01*
X135844316Y-58030371D01*
X136344316Y-58030371D01*
X136487173Y-58101800D01*
X136558602Y-58173229D01*
X136630030Y-58316086D01*
X136630030Y-58458943D01*
X136558602Y-58601800D01*
X136487173Y-58673229D01*
X136344316Y-58744657D01*
X135844316Y-58744657D01*
X138415744Y-59101800D02*
X139130030Y-59101800D01*
X138272887Y-59530371D02*
X138772887Y-58030371D01*
X139272887Y-59530371D01*
X139772887Y-59530371D02*
X139772887Y-58030371D01*
X140130030Y-58030371D01*
X140344315Y-58101800D01*
X140487173Y-58244657D01*
X140558601Y-58387514D01*
X140630030Y-58673229D01*
X140630030Y-58887514D01*
X140558601Y-59173229D01*
X140487173Y-59316086D01*
X140344315Y-59458943D01*
X140130030Y-59530371D01*
X139772887Y-59530371D01*
X141201458Y-59101800D02*
X141915744Y-59101800D01*
X141058601Y-59530371D02*
X141558601Y-58030371D01*
X142058601Y-59530371D01*
X142558601Y-59530371D02*
X142558601Y-58030371D01*
X143130029Y-58030371D01*
X143272887Y-58101800D01*
X143344315Y-58173229D01*
X143415744Y-58316086D01*
X143415744Y-58530371D01*
X143344315Y-58673229D01*
X143272887Y-58744657D01*
X143130029Y-58816086D01*
X142558601Y-58816086D01*
X143844315Y-58030371D02*
X144701458Y-58030371D01*
X144272887Y-59530371D02*
X144272887Y-58030371D01*
X145201458Y-58744657D02*
X145701458Y-58744657D01*
X145915744Y-59530371D02*
X145201458Y-59530371D01*
X145201458Y-58030371D01*
X145915744Y-58030371D01*
X147415744Y-59530371D02*
X146915744Y-58816086D01*
X146558601Y-59530371D02*
X146558601Y-58030371D01*
X147130029Y-58030371D01*
X147272887Y-58101800D01*
X147344315Y-58173229D01*
X147415744Y-58316086D01*
X147415744Y-58530371D01*
X147344315Y-58673229D01*
X147272887Y-58744657D01*
X147130029Y-58816086D01*
X146558601Y-58816086D01*
X113302171Y-50302800D02*
X111802171Y-50302800D01*
X112873600Y-49802800D01*
X111802171Y-49302800D01*
X113302171Y-49302800D01*
X113302171Y-47874228D02*
X113302171Y-48588514D01*
X111802171Y-48588514D01*
X112873600Y-47445657D02*
X112873600Y-46731371D01*
X113302171Y-47588514D02*
X111802171Y-47088514D01*
X113302171Y-46588514D01*
X112516457Y-45588514D02*
X112587886Y-45374228D01*
X112659314Y-45302800D01*
X112802171Y-45231371D01*
X113016457Y-45231371D01*
X113159314Y-45302800D01*
X113230743Y-45374228D01*
X113302171Y-45517086D01*
X113302171Y-46088514D01*
X111802171Y-46088514D01*
X111802171Y-45588514D01*
X111873600Y-45445657D01*
X111945029Y-45374228D01*
X112087886Y-45302800D01*
X112230743Y-45302800D01*
X112373600Y-45374228D01*
X112445029Y-45445657D01*
X112516457Y-45588514D01*
X112516457Y-46088514D01*
X142099600Y-51318657D02*
X142028171Y-51461514D01*
X142028171Y-51675800D01*
X142099600Y-51890085D01*
X142242457Y-52032943D01*
X142385314Y-52104371D01*
X142671029Y-52175800D01*
X142885314Y-52175800D01*
X143171029Y-52104371D01*
X143313886Y-52032943D01*
X143456743Y-51890085D01*
X143528171Y-51675800D01*
X143528171Y-51532943D01*
X143456743Y-51318657D01*
X143385314Y-51247228D01*
X142885314Y-51247228D01*
X142885314Y-51532943D01*
X143528171Y-50604371D02*
X142028171Y-50604371D01*
X142028171Y-50032943D01*
X142099600Y-49890085D01*
X142171029Y-49818657D01*
X142313886Y-49747228D01*
X142528171Y-49747228D01*
X142671029Y-49818657D01*
X142742457Y-49890085D01*
X142813886Y-50032943D01*
X142813886Y-50604371D01*
X143528171Y-49104371D02*
X142028171Y-49104371D01*
X142028171Y-48104371D02*
X142028171Y-47818657D01*
X142099600Y-47675799D01*
X142242457Y-47532942D01*
X142528171Y-47461514D01*
X143028171Y-47461514D01*
X143313886Y-47532942D01*
X143456743Y-47675799D01*
X143528171Y-47818657D01*
X143528171Y-48104371D01*
X143456743Y-48247228D01*
X143313886Y-48390085D01*
X143028171Y-48461514D01*
X142528171Y-48461514D01*
X142242457Y-48390085D01*
X142099600Y-48247228D01*
X142028171Y-48104371D01*
X142171029Y-46890085D02*
X142099600Y-46818656D01*
X142028171Y-46675799D01*
X142028171Y-46318656D01*
X142099600Y-46175799D01*
X142171029Y-46104370D01*
X142313886Y-46032942D01*
X142456743Y-46032942D01*
X142671029Y-46104370D01*
X143528171Y-46961513D01*
X143528171Y-46032942D01*
X142028171Y-45104371D02*
X142028171Y-44961514D01*
X142099600Y-44818657D01*
X142171029Y-44747228D01*
X142313886Y-44675799D01*
X142599600Y-44604371D01*
X142956743Y-44604371D01*
X143242457Y-44675799D01*
X143385314Y-44747228D01*
X143456743Y-44818657D01*
X143528171Y-44961514D01*
X143528171Y-45104371D01*
X143456743Y-45247228D01*
X143385314Y-45318657D01*
X143242457Y-45390085D01*
X142956743Y-45461514D01*
X142599600Y-45461514D01*
X142313886Y-45390085D01*
X142171029Y-45318657D01*
X142099600Y-45247228D01*
X142028171Y-45104371D01*
X142028171Y-43675800D02*
X142028171Y-43532943D01*
X142099600Y-43390086D01*
X142171029Y-43318657D01*
X142313886Y-43247228D01*
X142599600Y-43175800D01*
X142956743Y-43175800D01*
X143242457Y-43247228D01*
X143385314Y-43318657D01*
X143456743Y-43390086D01*
X143528171Y-43532943D01*
X143528171Y-43675800D01*
X143456743Y-43818657D01*
X143385314Y-43890086D01*
X143242457Y-43961514D01*
X142956743Y-44032943D01*
X142599600Y-44032943D01*
X142313886Y-43961514D01*
X142171029Y-43890086D01*
X142099600Y-43818657D01*
X142028171Y-43675800D01*
X112380028Y-58614571D02*
X112665742Y-58614571D01*
X112808600Y-58686000D01*
X112951457Y-58828857D01*
X113022885Y-59114571D01*
X113022885Y-59614571D01*
X112951457Y-59900286D01*
X112808600Y-60043143D01*
X112665742Y-60114571D01*
X112380028Y-60114571D01*
X112237171Y-60043143D01*
X112094314Y-59900286D01*
X112022885Y-59614571D01*
X112022885Y-59114571D01*
X112094314Y-58828857D01*
X112237171Y-58686000D01*
X112380028Y-58614571D01*
X113665743Y-60114571D02*
X113665743Y-58614571D01*
X114022886Y-58614571D01*
X114237171Y-58686000D01*
X114380029Y-58828857D01*
X114451457Y-58971714D01*
X114522886Y-59257429D01*
X114522886Y-59471714D01*
X114451457Y-59757429D01*
X114380029Y-59900286D01*
X114237171Y-60043143D01*
X114022886Y-60114571D01*
X113665743Y-60114571D01*
X116022886Y-60114571D02*
X115522886Y-59400286D01*
X115165743Y-60114571D02*
X115165743Y-58614571D01*
X115737171Y-58614571D01*
X115880029Y-58686000D01*
X115951457Y-58757429D01*
X116022886Y-58900286D01*
X116022886Y-59114571D01*
X115951457Y-59257429D01*
X115880029Y-59328857D01*
X115737171Y-59400286D01*
X115165743Y-59400286D01*
X116951457Y-58614571D02*
X117237171Y-58614571D01*
X117380029Y-58686000D01*
X117522886Y-58828857D01*
X117594314Y-59114571D01*
X117594314Y-59614571D01*
X117522886Y-59900286D01*
X117380029Y-60043143D01*
X117237171Y-60114571D01*
X116951457Y-60114571D01*
X116808600Y-60043143D01*
X116665743Y-59900286D01*
X116594314Y-59614571D01*
X116594314Y-59114571D01*
X116665743Y-58828857D01*
X116808600Y-58686000D01*
X116951457Y-58614571D01*
X118237172Y-60114571D02*
X118237172Y-58614571D01*
X118951458Y-60114571D02*
X118951458Y-58614571D01*
X119308601Y-58614571D01*
X119522886Y-58686000D01*
X119665744Y-58828857D01*
X119737172Y-58971714D01*
X119808601Y-59257429D01*
X119808601Y-59471714D01*
X119737172Y-59757429D01*
X119665744Y-59900286D01*
X119522886Y-60043143D01*
X119308601Y-60114571D01*
X118951458Y-60114571D01*
X120451458Y-59543143D02*
X121594315Y-59543143D01*
X122308601Y-58614571D02*
X122308601Y-59828857D01*
X122380029Y-59971714D01*
X122451458Y-60043143D01*
X122594315Y-60114571D01*
X122880029Y-60114571D01*
X123022887Y-60043143D01*
X123094315Y-59971714D01*
X123165744Y-59828857D01*
X123165744Y-58614571D01*
X123737173Y-58614571D02*
X124665744Y-58614571D01*
X124165744Y-59186000D01*
X124380030Y-59186000D01*
X124522887Y-59257429D01*
X124594316Y-59328857D01*
X124665744Y-59471714D01*
X124665744Y-59828857D01*
X124594316Y-59971714D01*
X124522887Y-60043143D01*
X124380030Y-60114571D01*
X123951458Y-60114571D01*
X123808601Y-60043143D01*
X123737173Y-59971714D01*
X126451458Y-60114571D02*
X126451458Y-58614571D01*
X126951458Y-59686000D01*
X127451458Y-58614571D01*
X127451458Y-60114571D01*
X128880030Y-60114571D02*
X128165744Y-60114571D01*
X128165744Y-58614571D01*
X129308601Y-59686000D02*
X130022887Y-59686000D01*
X129165744Y-60114571D02*
X129665744Y-58614571D01*
X130165744Y-60114571D01*
X131165744Y-59328857D02*
X131380030Y-59400286D01*
X131451458Y-59471714D01*
X131522887Y-59614571D01*
X131522887Y-59828857D01*
X131451458Y-59971714D01*
X131380030Y-60043143D01*
X131237172Y-60114571D01*
X130665744Y-60114571D01*
X130665744Y-58614571D01*
X131165744Y-58614571D01*
X131308601Y-58686000D01*
X131380030Y-58757429D01*
X131451458Y-58900286D01*
X131451458Y-59043143D01*
X131380030Y-59186000D01*
X131308601Y-59257429D01*
X131165744Y-59328857D01*
X130665744Y-59328857D01*
X133808601Y-59328857D02*
X134022887Y-59400286D01*
X134094315Y-59471714D01*
X134165744Y-59614571D01*
X134165744Y-59828857D01*
X134094315Y-59971714D01*
X134022887Y-60043143D01*
X133880029Y-60114571D01*
X133308601Y-60114571D01*
X133308601Y-58614571D01*
X133808601Y-58614571D01*
X133951458Y-58686000D01*
X134022887Y-58757429D01*
X134094315Y-58900286D01*
X134094315Y-59043143D01*
X134022887Y-59186000D01*
X133951458Y-59257429D01*
X133808601Y-59328857D01*
X133308601Y-59328857D01*
X135665744Y-60114571D02*
X135165744Y-59400286D01*
X134808601Y-60114571D02*
X134808601Y-58614571D01*
X135380029Y-58614571D01*
X135522887Y-58686000D01*
X135594315Y-58757429D01*
X135665744Y-58900286D01*
X135665744Y-59114571D01*
X135594315Y-59257429D01*
X135522887Y-59328857D01*
X135380029Y-59400286D01*
X134808601Y-59400286D01*
X136308601Y-59328857D02*
X136808601Y-59328857D01*
X137022887Y-60114571D02*
X136308601Y-60114571D01*
X136308601Y-58614571D01*
X137022887Y-58614571D01*
X137594315Y-59686000D02*
X138308601Y-59686000D01*
X137451458Y-60114571D02*
X137951458Y-58614571D01*
X138451458Y-60114571D01*
X138951458Y-60114571D02*
X138951458Y-58614571D01*
X139808601Y-60114571D02*
X139165744Y-59257429D01*
X139808601Y-58614571D02*
X138951458Y-59471714D01*
X140737172Y-58614571D02*
X141022886Y-58614571D01*
X141165744Y-58686000D01*
X141308601Y-58828857D01*
X141380029Y-59114571D01*
X141380029Y-59614571D01*
X141308601Y-59900286D01*
X141165744Y-60043143D01*
X141022886Y-60114571D01*
X140737172Y-60114571D01*
X140594315Y-60043143D01*
X140451458Y-59900286D01*
X140380029Y-59614571D01*
X140380029Y-59114571D01*
X140451458Y-58828857D01*
X140594315Y-58686000D01*
X140737172Y-58614571D01*
X142022887Y-58614571D02*
X142022887Y-59828857D01*
X142094315Y-59971714D01*
X142165744Y-60043143D01*
X142308601Y-60114571D01*
X142594315Y-60114571D01*
X142737173Y-60043143D01*
X142808601Y-59971714D01*
X142880030Y-59828857D01*
X142880030Y-58614571D01*
X143380030Y-58614571D02*
X144237173Y-58614571D01*
X143808602Y-60114571D02*
X143808602Y-58614571D01*
X146380030Y-59328857D02*
X146594316Y-59400286D01*
X146665744Y-59471714D01*
X146737173Y-59614571D01*
X146737173Y-59828857D01*
X146665744Y-59971714D01*
X146594316Y-60043143D01*
X146451458Y-60114571D01*
X145880030Y-60114571D01*
X145880030Y-58614571D01*
X146380030Y-58614571D01*
X146522887Y-58686000D01*
X146594316Y-58757429D01*
X146665744Y-58900286D01*
X146665744Y-59043143D01*
X146594316Y-59186000D01*
X146522887Y-59257429D01*
X146380030Y-59328857D01*
X145880030Y-59328857D01*
X147665744Y-58614571D02*
X147951458Y-58614571D01*
X148094316Y-58686000D01*
X148237173Y-58828857D01*
X148308601Y-59114571D01*
X148308601Y-59614571D01*
X148237173Y-59900286D01*
X148094316Y-60043143D01*
X147951458Y-60114571D01*
X147665744Y-60114571D01*
X147522887Y-60043143D01*
X147380030Y-59900286D01*
X147308601Y-59614571D01*
X147308601Y-59114571D01*
X147380030Y-58828857D01*
X147522887Y-58686000D01*
X147665744Y-58614571D01*
X148880030Y-59686000D02*
X149594316Y-59686000D01*
X148737173Y-60114571D02*
X149237173Y-58614571D01*
X149737173Y-60114571D01*
X151094316Y-60114571D02*
X150594316Y-59400286D01*
X150237173Y-60114571D02*
X150237173Y-58614571D01*
X150808601Y-58614571D01*
X150951459Y-58686000D01*
X151022887Y-58757429D01*
X151094316Y-58900286D01*
X151094316Y-59114571D01*
X151022887Y-59257429D01*
X150951459Y-59328857D01*
X150808601Y-59400286D01*
X150237173Y-59400286D01*
X151737173Y-60114571D02*
X151737173Y-58614571D01*
X152094316Y-58614571D01*
X152308601Y-58686000D01*
X152451459Y-58828857D01*
X152522887Y-58971714D01*
X152594316Y-59257429D01*
X152594316Y-59471714D01*
X152522887Y-59757429D01*
X152451459Y-59900286D01*
X152308601Y-60043143D01*
X152094316Y-60114571D01*
X151737173Y-60114571D01*
X109971200Y-47281371D02*
X109971200Y-45781371D01*
X110471200Y-46852800D01*
X110971200Y-45781371D01*
X110971200Y-47281371D01*
X112399772Y-47281371D02*
X111685486Y-47281371D01*
X111685486Y-45781371D01*
X112828343Y-46852800D02*
X113542629Y-46852800D01*
X112685486Y-47281371D02*
X113185486Y-45781371D01*
X113685486Y-47281371D01*
X114685486Y-46495657D02*
X114899772Y-46567086D01*
X114971200Y-46638514D01*
X115042629Y-46781371D01*
X115042629Y-46995657D01*
X114971200Y-47138514D01*
X114899772Y-47209943D01*
X114756914Y-47281371D01*
X114185486Y-47281371D01*
X114185486Y-45781371D01*
X114685486Y-45781371D01*
X114828343Y-45852800D01*
X114899772Y-45924229D01*
X114971200Y-46067086D01*
X114971200Y-46209943D01*
X114899772Y-46352800D01*
X114828343Y-46424229D01*
X114685486Y-46495657D01*
X114185486Y-46495657D01*
X109899772Y-48324229D02*
X109971201Y-48252800D01*
X110114058Y-48181371D01*
X110471201Y-48181371D01*
X110614058Y-48252800D01*
X110685487Y-48324229D01*
X110756915Y-48467086D01*
X110756915Y-48609943D01*
X110685487Y-48824229D01*
X109828344Y-49681371D01*
X110756915Y-49681371D01*
X111685486Y-48181371D02*
X111828343Y-48181371D01*
X111971200Y-48252800D01*
X112042629Y-48324229D01*
X112114058Y-48467086D01*
X112185486Y-48752800D01*
X112185486Y-49109943D01*
X112114058Y-49395657D01*
X112042629Y-49538514D01*
X111971200Y-49609943D01*
X111828343Y-49681371D01*
X111685486Y-49681371D01*
X111542629Y-49609943D01*
X111471200Y-49538514D01*
X111399772Y-49395657D01*
X111328343Y-49109943D01*
X111328343Y-48752800D01*
X111399772Y-48467086D01*
X111471200Y-48324229D01*
X111542629Y-48252800D01*
X111685486Y-48181371D01*
X113614057Y-49681371D02*
X112756914Y-49681371D01*
X113185486Y-49681371D02*
X113185486Y-48181371D01*
X113042629Y-48395657D01*
X112899771Y-48538514D01*
X112756914Y-48609943D01*
X114971200Y-48181371D02*
X114256914Y-48181371D01*
X114185485Y-48895657D01*
X114256914Y-48824229D01*
X114399771Y-48752800D01*
X114756914Y-48752800D01*
X114899771Y-48824229D01*
X114971200Y-48895657D01*
X115042628Y-49038514D01*
X115042628Y-49395657D01*
X114971200Y-49538514D01*
X114899771Y-49609943D01*
X114756914Y-49681371D01*
X114399771Y-49681371D01*
X114256914Y-49609943D01*
X114185485Y-49538514D01*
X150700029Y-51592943D02*
X151842886Y-51592943D01*
X151271457Y-52164371D02*
260,58 → 506,58
X154271457Y-52164371D01*
X154771457Y-50664371D01*
D12*
X151081076Y-44318181D02*
X151081076Y-43318181D01*
X151462029Y-43318181D01*
X151557267Y-43365800D01*
X151604886Y-43413419D01*
X151652505Y-43508657D01*
X151652505Y-43651514D01*
X151604886Y-43746752D01*
X151557267Y-43794371D01*
X151462029Y-43841990D01*
X151081076Y-43841990D01*
X152271552Y-43318181D02*
X152462029Y-43318181D01*
X152557267Y-43365800D01*
X152652505Y-43461038D01*
X152700124Y-43651514D01*
X152700124Y-43984848D01*
X152652505Y-44175324D01*
X152557267Y-44270562D01*
X152462029Y-44318181D01*
X152271552Y-44318181D01*
X152176314Y-44270562D01*
X152081076Y-44175324D01*
X152033457Y-43984848D01*
X152033457Y-43651514D01*
X152081076Y-43461038D01*
X152176314Y-43365800D01*
X152271552Y-43318181D01*
X153033457Y-43318181D02*
X153271552Y-44318181D01*
X153462029Y-43603895D01*
X153652505Y-44318181D01*
X153890600Y-43318181D01*
X154271552Y-43794371D02*
X154604886Y-43794371D01*
X154747743Y-44318181D02*
X154271552Y-44318181D01*
X154271552Y-43318181D01*
X154747743Y-43318181D01*
X155747743Y-44318181D02*
X155414409Y-43841990D01*
X155176314Y-44318181D02*
X155176314Y-43318181D01*
X155557267Y-43318181D01*
X155652505Y-43365800D01*
X155700124Y-43413419D01*
X155747743Y-43508657D01*
X155747743Y-43651514D01*
X155700124Y-43746752D01*
X155652505Y-43794371D01*
X155557267Y-43841990D01*
X155176314Y-43841990D01*
X150877876Y-44495981D02*
X150877876Y-43495981D01*
X151258829Y-43495981D01*
X151354067Y-43543600D01*
X151401686Y-43591219D01*
X151449305Y-43686457D01*
X151449305Y-43829314D01*
X151401686Y-43924552D01*
X151354067Y-43972171D01*
X151258829Y-44019790D01*
X150877876Y-44019790D01*
X152068352Y-43495981D02*
X152258829Y-43495981D01*
X152354067Y-43543600D01*
X152449305Y-43638838D01*
X152496924Y-43829314D01*
X152496924Y-44162648D01*
X152449305Y-44353124D01*
X152354067Y-44448362D01*
X152258829Y-44495981D01*
X152068352Y-44495981D01*
X151973114Y-44448362D01*
X151877876Y-44353124D01*
X151830257Y-44162648D01*
X151830257Y-43829314D01*
X151877876Y-43638838D01*
X151973114Y-43543600D01*
X152068352Y-43495981D01*
X152830257Y-43495981D02*
X153068352Y-44495981D01*
X153258829Y-43781695D01*
X153449305Y-44495981D01*
X153687400Y-43495981D01*
X154068352Y-43972171D02*
X154401686Y-43972171D01*
X154544543Y-44495981D02*
X154068352Y-44495981D01*
X154068352Y-43495981D01*
X154544543Y-43495981D01*
X155544543Y-44495981D02*
X155211209Y-44019790D01*
X154973114Y-44495981D02*
X154973114Y-43495981D01*
X155354067Y-43495981D01*
X155449305Y-43543600D01*
X155496924Y-43591219D01*
X155544543Y-43686457D01*
X155544543Y-43829314D01*
X155496924Y-43924552D01*
X155449305Y-43972171D01*
X155354067Y-44019790D01*
X154973114Y-44019790D01*
D11*
X147179600Y-51318657D02*
X147108171Y-51461514D01*
405,106 → 651,6
X146068171Y-43302371D01*
X144568171Y-43302371D02*
X146068171Y-44302371D01*
X142099600Y-51318657D02*
X142028171Y-51461514D01*
X142028171Y-51675800D01*
X142099600Y-51890085D01*
X142242457Y-52032943D01*
X142385314Y-52104371D01*
X142671029Y-52175800D01*
X142885314Y-52175800D01*
X143171029Y-52104371D01*
X143313886Y-52032943D01*
X143456743Y-51890085D01*
X143528171Y-51675800D01*
X143528171Y-51532943D01*
X143456743Y-51318657D01*
X143385314Y-51247228D01*
X142885314Y-51247228D01*
X142885314Y-51532943D01*
X143528171Y-50604371D02*
X142028171Y-50604371D01*
X142028171Y-50032943D01*
X142099600Y-49890085D01*
X142171029Y-49818657D01*
X142313886Y-49747228D01*
X142528171Y-49747228D01*
X142671029Y-49818657D01*
X142742457Y-49890085D01*
X142813886Y-50032943D01*
X142813886Y-50604371D01*
X143528171Y-49104371D02*
X142028171Y-49104371D01*
X142028171Y-48104371D02*
X142028171Y-47818657D01*
X142099600Y-47675799D01*
X142242457Y-47532942D01*
X142528171Y-47461514D01*
X143028171Y-47461514D01*
X143313886Y-47532942D01*
X143456743Y-47675799D01*
X143528171Y-47818657D01*
X143528171Y-48104371D01*
X143456743Y-48247228D01*
X143313886Y-48390085D01*
X143028171Y-48461514D01*
X142528171Y-48461514D01*
X142242457Y-48390085D01*
X142099600Y-48247228D01*
X142028171Y-48104371D01*
X142171029Y-46890085D02*
X142099600Y-46818656D01*
X142028171Y-46675799D01*
X142028171Y-46318656D01*
X142099600Y-46175799D01*
X142171029Y-46104370D01*
X142313886Y-46032942D01*
X142456743Y-46032942D01*
X142671029Y-46104370D01*
X143528171Y-46961513D01*
X143528171Y-46032942D01*
X142028171Y-45104371D02*
X142028171Y-44961514D01*
X142099600Y-44818657D01*
X142171029Y-44747228D01*
X142313886Y-44675799D01*
X142599600Y-44604371D01*
X142956743Y-44604371D01*
X143242457Y-44675799D01*
X143385314Y-44747228D01*
X143456743Y-44818657D01*
X143528171Y-44961514D01*
X143528171Y-45104371D01*
X143456743Y-45247228D01*
X143385314Y-45318657D01*
X143242457Y-45390085D01*
X142956743Y-45461514D01*
X142599600Y-45461514D01*
X142313886Y-45390085D01*
X142171029Y-45318657D01*
X142099600Y-45247228D01*
X142028171Y-45104371D01*
X142028171Y-43675800D02*
X142028171Y-43532943D01*
X142099600Y-43390086D01*
X142171029Y-43318657D01*
X142313886Y-43247228D01*
X142599600Y-43175800D01*
X142956743Y-43175800D01*
X143242457Y-43247228D01*
X143385314Y-43318657D01*
X143456743Y-43390086D01*
X143528171Y-43532943D01*
X143528171Y-43675800D01*
X143456743Y-43818657D01*
X143385314Y-43890086D01*
X143242457Y-43961514D01*
X142956743Y-44032943D01*
X142599600Y-44032943D01*
X142313886Y-43961514D01*
X142171029Y-43890086D01*
X142099600Y-43818657D01*
X142028171Y-43675800D01*
X140988171Y-44750799D02*
X140273886Y-45250799D01*
X140988171Y-45607942D02*
850,18 → 996,18
X117263171Y-43489085D02*
X118120314Y-44346228D01*
D13*
X156946600Y-44881800D02*
X149326600Y-44881800D01*
X149326600Y-44881800D02*
X149326600Y-49961800D01*
X149326600Y-49961800D02*
X154406600Y-49961800D01*
X156946600Y-44881800D02*
X156946600Y-47421800D01*
X156946600Y-49961800D02*
X156946600Y-47421800D01*
X154406600Y-49961800D02*
X156946600Y-49961800D01*
X142316600Y-56949800D02*
X142316600Y-54949800D01*
X150316600Y-54949800D02*
X150316600Y-52949800D01*
X150316600Y-52949800D02*
X142316600Y-52949800D01*
X142316600Y-54949800D02*
X142316600Y-52949800D01*
X150316600Y-56949800D02*
X142316600Y-56949800D01*
X150316600Y-56949800D02*
X150316600Y-54949800D01*
X136398000Y-37465000D02*
X138938000Y-37465000D01*
X138938000Y-37465000D02*
886,30 → 1032,6
X146558000Y-42545000D01*
X146558000Y-42545000D02*
X146558000Y-37465000D01*
X134213600Y-45389800D02*
X134213600Y-37769800D01*
X134213600Y-37769800D02*
X129133600Y-37769800D01*
X129133600Y-37769800D02*
X129133600Y-42849800D01*
X134213600Y-45389800D02*
X131673600Y-45389800D01*
X129133600Y-45389800D02*
X131673600Y-45389800D01*
X129133600Y-42849800D02*
X129133600Y-45389800D01*
X142316600Y-56949800D02*
X142316600Y-54949800D01*
X150316600Y-54949800D02*
X150316600Y-52949800D01*
X150316600Y-52949800D02*
X142316600Y-52949800D01*
X142316600Y-54949800D02*
X142316600Y-52949800D01*
X150316600Y-56949800D02*
X142316600Y-56949800D01*
X150316600Y-56949800D02*
X150316600Y-54949800D01*
X138938000Y-37465000D02*
X141478000Y-37465000D01*
X141478000Y-37465000D02*
971,19 → 1093,51
X121894600Y-42595800D02*
X121894600Y-37515800D01*
D14*
X151189267Y-46599324D02*
X151189267Y-47506467D01*
X151128791Y-47687895D01*
X151007839Y-47808848D01*
X150826410Y-47869324D01*
X150705458Y-47869324D01*
X152459267Y-47869324D02*
X151733553Y-47869324D01*
X152096410Y-47869324D02*
X152096410Y-46599324D01*
X151975458Y-46780752D01*
X151854505Y-46901705D01*
X151733553Y-46962181D01*
X156946600Y-44881800D02*
X156946600Y-49961800D01*
X156946600Y-49961800D02*
X149326600Y-49961800D01*
X149326600Y-49961800D02*
X149326600Y-44881800D01*
X149326600Y-44881800D02*
X156946600Y-44881800D01*
X134213600Y-45389800D02*
X129133600Y-45389800D01*
X129133600Y-45389800D02*
X129133600Y-37769800D01*
X129133600Y-37769800D02*
X134213600Y-37769800D01*
X134213600Y-37769800D02*
X134213600Y-45389800D01*
D15*
X140470467Y-54549524D02*
X140470467Y-55456667D01*
X140409991Y-55638095D01*
X140289039Y-55759048D01*
X140107610Y-55819524D01*
X139986658Y-55819524D01*
X141619515Y-54549524D02*
X141377610Y-54549524D01*
X141256658Y-54610000D01*
X141196181Y-54670476D01*
X141075229Y-54851905D01*
X141014753Y-55093810D01*
X141014753Y-55577619D01*
X141075229Y-55698571D01*
X141135705Y-55759048D01*
X141256658Y-55819524D01*
X141498562Y-55819524D01*
X141619515Y-55759048D01*
X141679991Y-55698571D01*
X141740467Y-55577619D01*
X141740467Y-55275238D01*
X141679991Y-55154286D01*
X141619515Y-55093810D01*
X141498562Y-55033333D01*
X141256658Y-55033333D01*
X141135705Y-55093810D01*
X141075229Y-55154286D01*
X141014753Y-55275238D01*
X137244667Y-35499524D02*
X137244667Y-36406667D01*
X137184191Y-36588095D01*
1032,56 → 1186,6
X148251334Y-35439048D02*
X147948953Y-36346190D01*
X148735143Y-36346190D01*
X134661124Y-42130133D02*
X135568267Y-42130133D01*
X135749695Y-42190609D01*
X135870648Y-42311561D01*
X135931124Y-42492990D01*
X135931124Y-42613942D01*
X134661124Y-40920609D02*
X134661124Y-41525371D01*
X135265886Y-41585847D01*
X135205410Y-41525371D01*
X135144933Y-41404419D01*
X135144933Y-41102038D01*
X135205410Y-40981085D01*
X135265886Y-40920609D01*
X135386838Y-40860133D01*
X135689219Y-40860133D01*
X135810171Y-40920609D01*
X135870648Y-40981085D01*
X135931124Y-41102038D01*
X135931124Y-41404419D01*
X135870648Y-41525371D01*
X135810171Y-41585847D01*
X149538267Y-57394324D02*
X149538267Y-58301467D01*
X149477791Y-58482895D01*
X149356839Y-58603848D01*
X149175410Y-58664324D01*
X149054458Y-58664324D01*
X150687315Y-57394324D02*
X150445410Y-57394324D01*
X150324458Y-57454800D01*
X150263981Y-57515276D01*
X150143029Y-57696705D01*
X150082553Y-57938610D01*
X150082553Y-58422419D01*
X150143029Y-58543371D01*
X150203505Y-58603848D01*
X150324458Y-58664324D01*
X150566362Y-58664324D01*
X150687315Y-58603848D01*
X150747791Y-58543371D01*
X150808267Y-58422419D01*
X150808267Y-58120038D01*
X150747791Y-57999086D01*
X150687315Y-57938610D01*
X150566362Y-57878133D01*
X150324458Y-57878133D01*
X150203505Y-57938610D01*
X150143029Y-57999086D01*
X150082553Y-58120038D01*
X139784667Y-35499524D02*
X139784667Y-36406667D01*
X139724191Y-36588095D01*
1129,281 → 1233,145
X146074191Y-36164762D01*
X146013715Y-36104286D01*
X145892762Y-36043810D01*
X117661267Y-35550324D02*
X117661267Y-36457467D01*
X117600791Y-36638895D01*
X117479839Y-36759848D01*
X117298410Y-36820324D01*
X117177458Y-36820324D01*
X118326505Y-36820324D02*
X118568410Y-36820324D01*
X118689362Y-36759848D01*
X118749838Y-36699371D01*
X118870791Y-36517943D01*
X118931267Y-36276038D01*
X118931267Y-35792229D01*
X118870791Y-35671276D01*
X118810315Y-35610800D01*
X118689362Y-35550324D01*
X118447458Y-35550324D01*
X118326505Y-35610800D01*
X118266029Y-35671276D01*
X118205553Y-35792229D01*
X118205553Y-36094610D01*
X118266029Y-36215562D01*
X118326505Y-36276038D01*
X118447458Y-36336514D01*
X118689362Y-36336514D01*
X118810315Y-36276038D01*
X118870791Y-36215562D01*
X118931267Y-36094610D01*
X119596505Y-35550324D02*
X119596505Y-36457467D01*
X119536029Y-36638895D01*
X119415077Y-36759848D01*
X119233648Y-36820324D01*
X119112696Y-36820324D01*
X120866505Y-36820324D02*
X120140791Y-36820324D01*
X120503648Y-36820324D02*
X120503648Y-35550324D01*
X120382696Y-35731752D01*
X120261743Y-35852705D01*
X120140791Y-35913181D01*
X121652696Y-35550324D02*
X121773648Y-35550324D01*
X121894600Y-35610800D01*
X121955077Y-35671276D01*
X122015553Y-35792229D01*
X122076029Y-36034133D01*
X122076029Y-36336514D01*
X122015553Y-36578419D01*
X121955077Y-36699371D01*
X121894600Y-36759848D01*
X121773648Y-36820324D01*
X121652696Y-36820324D01*
X121531743Y-36759848D01*
X121471267Y-36699371D01*
X121410791Y-36578419D01*
X121350315Y-36336514D01*
X121350315Y-36034133D01*
X121410791Y-35792229D01*
X121471267Y-35671276D01*
X121531743Y-35610800D01*
X121652696Y-35550324D01*
X116661505Y-51333324D02*
X116661505Y-52240467D01*
X116601029Y-52421895D01*
X116480077Y-52542848D01*
X116298648Y-52603324D01*
X116177696Y-52603324D01*
X117931505Y-52603324D02*
X117205791Y-52603324D01*
X117568648Y-52603324D02*
X117568648Y-51333324D01*
X117447696Y-51514752D01*
X117326743Y-51635705D01*
X117205791Y-51696181D01*
X119141029Y-52603324D02*
X118415315Y-52603324D01*
X118778172Y-52603324D02*
X118778172Y-51333324D01*
X118657220Y-51514752D01*
X118536267Y-51635705D01*
X118415315Y-51696181D01*
X124676505Y-35550324D02*
X124676505Y-36457467D01*
X124616029Y-36638895D01*
X124495077Y-36759848D01*
X124313648Y-36820324D01*
X124192696Y-36820324D01*
X125946505Y-36820324D02*
X125220791Y-36820324D01*
X125583648Y-36820324D02*
X125583648Y-35550324D01*
X125462696Y-35731752D01*
X125341743Y-35852705D01*
X125220791Y-35913181D01*
X126430315Y-35671276D02*
X126490791Y-35610800D01*
X126611743Y-35550324D01*
X126914124Y-35550324D01*
X127035077Y-35610800D01*
X127095553Y-35671276D01*
X127156029Y-35792229D01*
X127156029Y-35913181D01*
X127095553Y-36094610D01*
X126369839Y-36820324D01*
X127156029Y-36820324D01*
X122136505Y-35550324D02*
X122136505Y-36457467D01*
X122076029Y-36638895D01*
X121955077Y-36759848D01*
X121773648Y-36820324D01*
X121652696Y-36820324D01*
X123406505Y-36820324D02*
X122680791Y-36820324D01*
X123043648Y-36820324D02*
X123043648Y-35550324D01*
X122922696Y-35731752D01*
X122801743Y-35852705D01*
X122680791Y-35913181D01*
X123829839Y-35550324D02*
X124616029Y-35550324D01*
X124192696Y-36034133D01*
X124374124Y-36034133D01*
X124495077Y-36094610D01*
X124555553Y-36155086D01*
X124616029Y-36276038D01*
X124616029Y-36578419D01*
X124555553Y-36699371D01*
X124495077Y-36759848D01*
X124374124Y-36820324D01*
X124011267Y-36820324D01*
X123890315Y-36759848D01*
X123829839Y-36699371D01*
D15*
X151902886Y-39275229D02*
X151902886Y-40363800D01*
X151830314Y-40581514D01*
X151685171Y-40726657D01*
X151467457Y-40799229D01*
X151322314Y-40799229D01*
X153426886Y-40799229D02*
X152556029Y-40799229D01*
X152991457Y-40799229D02*
X152991457Y-39275229D01*
X152846314Y-39492943D01*
X152701172Y-39638086D01*
X152556029Y-39710657D01*
X154733172Y-39783229D02*
X154733172Y-40799229D01*
X154370315Y-39202657D02*
X154007458Y-40291229D01*
X154950886Y-40291229D01*
X111262886Y-39275229D02*
X111262886Y-40363800D01*
X111190314Y-40581514D01*
X111045171Y-40726657D01*
X110827457Y-40799229D01*
X110682314Y-40799229D01*
X112786886Y-40799229D02*
X111916029Y-40799229D01*
X112351457Y-40799229D02*
X112351457Y-39275229D01*
X112206314Y-39492943D01*
X112061172Y-39638086D01*
X111916029Y-39710657D01*
X114165743Y-39275229D02*
X113440029Y-39275229D01*
X113367458Y-40000943D01*
X113440029Y-39928371D01*
X113585172Y-39855800D01*
X113948029Y-39855800D01*
X114093172Y-39928371D01*
X114165743Y-40000943D01*
X114238315Y-40146086D01*
X114238315Y-40508943D01*
X114165743Y-40654086D01*
X114093172Y-40726657D01*
X113948029Y-40799229D01*
X113585172Y-40799229D01*
X113440029Y-40726657D01*
X113367458Y-40654086D01*
X152082886Y-54715229D02*
X152082886Y-55803800D01*
X152010314Y-56021514D01*
X151865171Y-56166657D01*
X151647457Y-56239229D01*
X151502314Y-56239229D01*
X153606886Y-56239229D02*
X152736029Y-56239229D01*
X153171457Y-56239229D02*
X153171457Y-54715229D01*
X153026314Y-54932943D01*
X152881172Y-55078086D01*
X152736029Y-55150657D01*
X154913172Y-54715229D02*
X154622886Y-54715229D01*
X154477743Y-54787800D01*
X154405172Y-54860371D01*
X154260029Y-55078086D01*
X154187458Y-55368371D01*
X154187458Y-55948943D01*
X154260029Y-56094086D01*
X154332601Y-56166657D01*
X154477743Y-56239229D01*
X154768029Y-56239229D01*
X154913172Y-56166657D01*
X154985743Y-56094086D01*
X155058315Y-55948943D01*
X155058315Y-55586086D01*
X154985743Y-55440943D01*
X154913172Y-55368371D01*
X154768029Y-55295800D01*
X154477743Y-55295800D01*
X154332601Y-55368371D01*
X154260029Y-55440943D01*
X154187458Y-55586086D01*
X111082886Y-54715229D02*
X111082886Y-55803800D01*
X111010314Y-56021514D01*
X110865171Y-56166657D01*
X110647457Y-56239229D01*
X110502314Y-56239229D01*
X112606886Y-56239229D02*
X111736029Y-56239229D01*
X112171457Y-56239229D02*
X112171457Y-54715229D01*
X112026314Y-54932943D01*
X111881172Y-55078086D01*
X111736029Y-55150657D01*
X113114886Y-54715229D02*
X114130886Y-54715229D01*
X113477743Y-56239229D01*
X131582886Y-49435229D02*
X131582886Y-50523800D01*
X131510314Y-50741514D01*
X131365171Y-50886657D01*
X131147457Y-50959229D01*
X131002314Y-50959229D01*
X133106886Y-50959229D02*
X132236029Y-50959229D01*
X132671457Y-50959229D02*
X132671457Y-49435229D01*
X132526314Y-49652943D01*
X132381172Y-49798086D01*
X132236029Y-49870657D01*
X133977743Y-50088371D02*
X133832601Y-50015800D01*
X133760029Y-49943229D01*
X133687458Y-49798086D01*
X133687458Y-49725514D01*
X133760029Y-49580371D01*
X133832601Y-49507800D01*
X133977743Y-49435229D01*
X134268029Y-49435229D01*
X134413172Y-49507800D01*
X134485743Y-49580371D01*
X134558315Y-49725514D01*
X134558315Y-49798086D01*
X134485743Y-49943229D01*
X134413172Y-50015800D01*
X134268029Y-50088371D01*
X133977743Y-50088371D01*
X133832601Y-50160943D01*
X133760029Y-50233514D01*
X133687458Y-50378657D01*
X133687458Y-50668943D01*
X133760029Y-50814086D01*
X133832601Y-50886657D01*
X133977743Y-50959229D01*
X134268029Y-50959229D01*
X134413172Y-50886657D01*
X134485743Y-50814086D01*
X134558315Y-50668943D01*
X134558315Y-50378657D01*
X134485743Y-50233514D01*
X134413172Y-50160943D01*
X134268029Y-50088371D01*
X116442067Y-35728124D02*
X116442067Y-36635267D01*
X116381591Y-36816695D01*
X116260639Y-36937648D01*
X116079210Y-36998124D01*
X115958258Y-36998124D01*
X117107305Y-36998124D02*
X117349210Y-36998124D01*
X117470162Y-36937648D01*
X117530638Y-36877171D01*
X117651591Y-36695743D01*
X117712067Y-36453838D01*
X117712067Y-35970029D01*
X117651591Y-35849076D01*
X117591115Y-35788600D01*
X117470162Y-35728124D01*
X117228258Y-35728124D01*
X117107305Y-35788600D01*
X117046829Y-35849076D01*
X116986353Y-35970029D01*
X116986353Y-36272410D01*
X117046829Y-36393362D01*
X117107305Y-36453838D01*
X117228258Y-36514314D01*
X117470162Y-36514314D01*
X117591115Y-36453838D01*
X117651591Y-36393362D01*
X117712067Y-36272410D01*
X118478905Y-35702724D02*
X118478905Y-36609867D01*
X118418429Y-36791295D01*
X118297477Y-36912248D01*
X118116048Y-36972724D01*
X117995096Y-36972724D01*
X119748905Y-36972724D02*
X119023191Y-36972724D01*
X119386048Y-36972724D02*
X119386048Y-35702724D01*
X119265096Y-35884152D01*
X119144143Y-36005105D01*
X119023191Y-36065581D01*
X120535096Y-35702724D02*
X120656048Y-35702724D01*
X120777000Y-35763200D01*
X120837477Y-35823676D01*
X120897953Y-35944629D01*
X120958429Y-36186533D01*
X120958429Y-36488914D01*
X120897953Y-36730819D01*
X120837477Y-36851771D01*
X120777000Y-36912248D01*
X120656048Y-36972724D01*
X120535096Y-36972724D01*
X120414143Y-36912248D01*
X120353667Y-36851771D01*
X120293191Y-36730819D01*
X120232715Y-36488914D01*
X120232715Y-36186533D01*
X120293191Y-35944629D01*
X120353667Y-35823676D01*
X120414143Y-35763200D01*
X120535096Y-35702724D01*
X121044305Y-54295524D02*
X121044305Y-55202667D01*
X120983829Y-55384095D01*
X120862877Y-55505048D01*
X120681448Y-55565524D01*
X120560496Y-55565524D01*
X122314305Y-55565524D02*
X121588591Y-55565524D01*
X121951448Y-55565524D02*
X121951448Y-54295524D01*
X121830496Y-54476952D01*
X121709543Y-54597905D01*
X121588591Y-54658381D01*
X123523829Y-55565524D02*
X122798115Y-55565524D01*
X123160972Y-55565524D02*
X123160972Y-54295524D01*
X123040020Y-54476952D01*
X122919067Y-54597905D01*
X122798115Y-54658381D01*
X125057505Y-35855124D02*
X125057505Y-36762267D01*
X124997029Y-36943695D01*
X124876077Y-37064648D01*
X124694648Y-37125124D01*
X124573696Y-37125124D01*
X126327505Y-37125124D02*
X125601791Y-37125124D01*
X125964648Y-37125124D02*
X125964648Y-35855124D01*
X125843696Y-36036552D01*
X125722743Y-36157505D01*
X125601791Y-36217981D01*
X126811315Y-35976076D02*
X126871791Y-35915600D01*
X126992743Y-35855124D01*
X127295124Y-35855124D01*
X127416077Y-35915600D01*
X127476553Y-35976076D01*
X127537029Y-36097029D01*
X127537029Y-36217981D01*
X127476553Y-36399410D01*
X126750839Y-37125124D01*
X127537029Y-37125124D01*
X121704705Y-35855124D02*
X121704705Y-36762267D01*
X121644229Y-36943695D01*
X121523277Y-37064648D01*
X121341848Y-37125124D01*
X121220896Y-37125124D01*
X122974705Y-37125124D02*
X122248991Y-37125124D01*
X122611848Y-37125124D02*
X122611848Y-35855124D01*
X122490896Y-36036552D01*
X122369943Y-36157505D01*
X122248991Y-36217981D01*
X123398039Y-35855124D02*
X124184229Y-35855124D01*
X123760896Y-36338933D01*
X123942324Y-36338933D01*
X124063277Y-36399410D01*
X124123753Y-36459886D01*
X124184229Y-36580838D01*
X124184229Y-36883219D01*
X124123753Y-37004171D01*
X124063277Y-37064648D01*
X123942324Y-37125124D01*
X123579467Y-37125124D01*
X123458515Y-37064648D01*
X123398039Y-37004171D01*
D16*
X134737457Y-44345990D02*
X134451743Y-44345990D01*
X134594600Y-44345990D02*
X134594600Y-43845990D01*
X134546981Y-43917419D01*
X134499362Y-43965038D01*
X134451743Y-43988848D01*
M02*
/Modules/ARM/ODROID-U3/CAM_PROFI/U3_MLAB_ADAPTER.drl
1,64 → 1,66
M48
;DRILL file {kicad 0.201412101631+5319~19~ubuntu14.04.1-product} date Čt 11. prosinec 2014, 12:49:16 CET
;FORMAT={-:-/ absolute / metric / decimal}
;DRILL file {kicad 0.201503110816+5502~22~ubuntu14.10.1-product} date St 11. březen 2015, 17:56:56 CET
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
METRIC,TZ
T1C0.700
T2C0.900
T3C3.000
INCH,TZ
T1C0.028
T2C0.035
T3C0.035
T4C0.118
%
G90
G05
M71
M72
T1
X116.817Y-53.95
X116.817Y-55.95
X118.817Y-53.95
X118.817Y-55.95
X143.317Y-53.95
X143.317Y-55.95
X145.317Y-53.95
X145.317Y-55.95
X147.317Y-53.95
X147.317Y-55.95
X149.317Y-53.95
X149.317Y-55.95
X4.5991Y-2.124
X4.5991Y-2.2027
X4.6778Y-2.124
X4.6778Y-2.2027
X5.6424Y-2.124
X5.6424Y-2.2027
X5.7211Y-2.124
X5.7211Y-2.2027
X5.7999Y-2.124
X5.7999Y-2.2027
X5.8786Y-2.124
X5.8786Y-2.2027
T2
X118.085Y-38.786
X118.085Y-41.326
X120.625Y-38.786
X120.625Y-41.326
X123.165Y-38.786
X123.165Y-41.326
X125.705Y-38.786
X125.705Y-41.326
X130.404Y-39.04
X130.404Y-41.58
X130.404Y-44.12
X132.944Y-39.04
X132.944Y-41.58
X132.944Y-44.12
X137.668Y-38.735
X137.668Y-41.275
X140.208Y-38.735
X140.208Y-41.275
X142.748Y-38.735
X142.748Y-41.275
X145.288Y-38.735
X145.288Y-41.275
X147.828Y-38.735
X147.828Y-41.275
X150.597Y-46.152
X150.597Y-48.692
X153.137Y-46.152
X153.137Y-48.692
X155.677Y-46.152
X155.677Y-48.692
X5.134Y-1.537
X5.134Y-1.637
X5.134Y-1.737
X5.234Y-1.537
X5.234Y-1.637
X5.234Y-1.737
X5.929Y-1.817
X5.929Y-1.917
X6.029Y-1.817
X6.029Y-1.917
X6.129Y-1.817
X6.129Y-1.917
T3
X112.317Y-55.55
X112.497Y-40.11
X132.817Y-50.27
X153.137Y-40.11
X153.317Y-55.55
X4.649Y-1.527
X4.649Y-1.627
X4.749Y-1.527
X4.749Y-1.627
X4.849Y-1.527
X4.849Y-1.627
X4.949Y-1.527
X4.949Y-1.627
X5.42Y-1.525
X5.42Y-1.625
X5.52Y-1.525
X5.52Y-1.625
X5.62Y-1.525
X5.62Y-1.625
X5.72Y-1.525
X5.72Y-1.625
X5.82Y-1.525
X5.82Y-1.625
T4
X4.4219Y-2.187
X4.429Y-1.5791
X5.229Y-1.9791
X6.029Y-1.5791
X6.0361Y-2.187
T0
M30
/Modules/ARM/ODROID-U3/CAM_PROFI/preview.gvp
1,8 → 1,8
(gerbv-file-version! "2.0A")
(define-layer! 4 (cons 'filename "U3_MLAB_ADAPTER-F_SilkS.gbr")(cons 'visible #t)(cons 'color #(65535 50629 13107)))
(define-layer! 3 (cons 'filename "U3_MLAB_ADAPTER-Edge_Cuts.gbr")(cons 'visible #t)(cons 'color #(0 50115 50115)))
(define-layer! 2 (cons 'filename "U3_MLAB_ADAPTER-B_Mask.gbr")(cons 'visible #t)(cons 'color #(49601 0 57568)))
(define-layer! 1 (cons 'filename "U3_MLAB_ADAPTER-B_Cu.gbr")(cons 'visible #t)(cons 'color #(65535 32639 29555)))
(define-layer! 0 (cons 'filename "U3_MLAB_ADAPTER.drl")(cons 'visible #t)(cons 'color #(29555 29555 57054))(cons 'attribs (list (list 'autodetect 'Boolean 1) (list 'zero_supression 'Enum 1) (list 'units 'Enum 1) (list 'digits 'Integer 3))))
(define-layer! 3 (cons 'filename "U3_MLAB_ADAPTER-Edge_Cuts.gbr")(cons 'visible #f)(cons 'color #(0 50115 50115)))
(define-layer! 2 (cons 'filename "U3_MLAB_ADAPTER-B_Mask.gbr")(cons 'visible #f)(cons 'color #(49601 0 57568)))
(define-layer! 1 (cons 'filename "U3_MLAB_ADAPTER-B_Cu.gbr")(cons 'visible #f)(cons 'color #(65535 32639 29555)))
(define-layer! 0 (cons 'filename "U3_MLAB_ADAPTER.drl")(cons 'visible #t)(cons 'color #(29555 29555 57054))(cons 'attribs (list (list 'autodetect 'Boolean 1) (list 'zero_supression 'Enum 1) (list 'units 'Enum 0) (list 'digits 'Integer 4))))
(define-layer! -1 (cons 'filename "/home/kaklik/svn/svnMLAB/Modules/ARM/ODROID-U3/CAM_PROFI")(cons 'visible #f)(cons 'color #(0 0 0)))
(set-render-type! 3)
/Modules/ARM/ODROID-U3/SCH_PCB/U3_MLAB_ADAPTER.cmp
1,4 → 1,4
Cmp-Mod V01 Created by Cvpcb 0.201503110816+5502~22~ubuntu14.10.1-product date = St 11. březen 2015, 16:30:41 CET
Cmp-Mod V01 Created by Cvpcb 0.201503110816+5502~22~ubuntu14.10.1-product date = St 11. březen 2015, 17:38:58 CET
 
BeginCmp
TimeStamp = /5485FFA7;
/Modules/ARM/ODROID-U3/SCH_PCB/U3_MLAB_ADAPTER.kicad_pcb
1,14 → 1,14
(kicad_pcb (version 4) (host pcbnew 0.201503110816+5502~22~ubuntu14.10.1-product)
 
(general
(links 51)
(no_connects 8)
(area 107.622599 35.235799 158.010601 61.111601)
(links 43)
(no_connects 0)
(area 104.4448 30.8356 164.109401 63.017401)
(thickness 1.6)
(drawings 18)
(tracks 76)
(zones 0)
(modules 23)
(modules 22)
(nets 13)
)
 
37,7 → 37,7
)
 
(setup
(last_trace_width 1)
(last_trace_width 0.3)
(user_trace_width 0.2)
(user_trace_width 0.3)
(user_trace_width 0.4)
108,9 → 108,9
(net 7 /UART_TX)
(net 8 /UART_RX)
(net 9 /SCLK)
(net 10 /MOSI)
(net 11 /#SS)
(net 12 /MISO)
(net 10 /MISO)
(net 11 "Net-(J10-Pad1)")
(net 12 "Net-(J11-Pad3)")
 
(net_class Default "Toto je výchozí třída sítě."
(clearance 0.15)
119,15 → 119,15
(via_drill 0.635)
(uvia_dia 0.508)
(uvia_drill 0.127)
(add_net /#SS)
(add_net /GPIO199)
(add_net /GPIO200)
(add_net /GPIO204)
(add_net /MISO)
(add_net /MOSI)
(add_net /SCLK)
(add_net /UART_RX)
(add_net /UART_TX)
(add_net "Net-(J10-Pad1)")
(add_net "Net-(J11-Pad3)")
)
 
(net_class Power ""
142,39 → 142,39
(add_net GND)
)
 
(module MLAB_hreb:2mm_Pin_Header_Straight_2x04 (layer B.Cu) (tedit 5487093A) (tstamp 55015AF1)
(at 146.3166 54.9498 270)
(module MLAB_hreb:2mm_Pin_Header_Straight_2x04 (layer F.Cu) (tedit 55007440) (tstamp 55015AF1)
(at 146.3166 54.9498 90)
(descr "1 pin")
(tags "CONN DEV")
(path /5485F8D0)
(fp_text reference J6 (at -3.14 -3.645 540) (layer B.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)) (justify mirror))
(fp_text reference J6 (at -0.2952 -5.4228 180) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value IOS_PORT_#1 (at 0 -5.334 270) (layer B.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.2032)) (justify mirror))
(fp_text value IOS_PORT_#1 (at 0 5.334 90) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_line (start -2 4) (end 0 4) (layer B.SilkS) (width 0.254))
(fp_line (start 0 -4) (end 2 -4) (layer B.SilkS) (width 0.254))
(fp_line (start 2 -4) (end 2 4) (layer B.SilkS) (width 0.254))
(fp_line (start 0 4) (end 2 4) (layer B.SilkS) (width 0.254))
(fp_line (start -2 -4) (end -2 4) (layer B.SilkS) (width 0.254))
(fp_line (start -2 -4) (end 0 -4) (layer B.SilkS) (width 0.254))
(pad 1 thru_hole rect (at -1 3 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(fp_line (start -2 -4) (end 0 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 4) (end 2 4) (layer F.SilkS) (width 0.254))
(fp_line (start 2 4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 -4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end -2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end 0 4) (layer F.SilkS) (width 0.254))
(pad 1 thru_hole rect (at -1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(pad 2 thru_hole rect (at 1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 4 /GPIO199))
(pad 2 thru_hole rect (at 1 3 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(net 3 +1.8V))
(pad 3 thru_hole rect (at -1 1 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(pad 3 thru_hole rect (at -1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 8 /UART_RX))
(pad 4 thru_hole rect (at 1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 5 /GPIO200))
(pad 4 thru_hole rect (at 1 1 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(net 8 /UART_RX))
(pad 5 thru_hole rect (at -1 -1 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(pad 5 thru_hole rect (at -1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 7 /UART_TX))
(pad 6 thru_hole rect (at 1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 6 /GPIO204))
(pad 6 thru_hole rect (at 1 -1 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(net 7 /UART_TX))
(pad 7 thru_hole rect (at -1 -3 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(pad 7 thru_hole rect (at -1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 2 +5V))
(pad 8 thru_hole rect (at 1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 8 thru_hole rect (at 1 -3 270) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask B.SilkS)
(net 2 +5V))
(model Pin_Headers/Pin_Header_Straight_2x04.wrl
(at (xyz 0 0 0))
(scale (xyz 0.7874 0.7874 0.7874))
182,6 → 182,37
)
)
 
(module Capacitors_Tantalum_SMD:TantalC_SizeB_EIA-3528_Reflow (layer B.Cu) (tedit 55005C2F) (tstamp 5500FA8F)
(at 138.684 56.1086 180)
(descr "Tantal Cap. , Size B, EIA-3528, Reflow,")
(tags "Tantal Cap. , Size B, EIA-3528, Reflow,")
(path /548601CE)
(attr smd)
(fp_text reference C2 (at -0.20066 3.29946 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10uF (at -0.09906 -3.59918 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user + (at -3.59918 2.49936 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.99974 1.89992) (end -2.99974 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49936 1.89992) (end -2.49936 1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49682 -1.89992) (end -2.5019 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start -3.60172 3.00228) (end -3.60172 1.90246) (layer B.SilkS) (width 0.15))
(fp_line (start -4.20116 2.5019) (end -3.00228 2.5019) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 1 smd rect (at -1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(net 3 +1.8V))
(model Capacitors_Tantalum_SMD/TantalC_SizeB_EIA-3528_Reflow.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54896E7D)
(at 137.668 40.005)
(descr "1 pin")
260,46 → 291,6
)
)
 
(module MLAB_hreb:2mm_Pin_Header_Straight_2x04 (layer F.Cu) (tedit 5487093A) (tstamp 54871228)
(at 146.3166 54.9498 90)
(descr "1 pin")
(tags "CONN DEV")
(path /5485F8D0)
(fp_text reference J6 (at -3.14 3.645 180) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value IOS_PORT_#1 (at 0 5.334 90) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_line (start -2 -4) (end 0 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 4) (end 2 4) (layer F.SilkS) (width 0.254))
(fp_line (start 2 4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 -4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end -2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end 0 4) (layer F.SilkS) (width 0.254))
(pad 1 thru_hole rect (at -1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 4 /GPIO199))
(pad 2 thru_hole rect (at 1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(pad 3 thru_hole rect (at -1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 5 /GPIO200))
(pad 4 thru_hole rect (at 1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 8 /UART_RX))
(pad 5 thru_hole rect (at -1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 6 /GPIO204))
(pad 6 thru_hole rect (at 1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 7 /UART_TX))
(pad 7 thru_hole rect (at -1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 8 thru_hole rect (at 1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 2 +5V))
(model Pin_Headers/Pin_Header_Straight_2x04.wrl
(at (xyz 0 0 0))
(scale (xyz 0.7874 0.7874 0.7874))
(rotate (xyz 0 0 90))
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54896E72)
(at 140.208 40.005)
(descr "1 pin")
352,12 → 343,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54874ADA)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 55007496) (tstamp 54874ADA)
(at 118.0846 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /5486082B)
(fp_text reference J9 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J9 (at -1.2192 -3.6322) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value SCLK (at 0 0) (layer F.SilkS) hide
378,12 → 369,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54871250)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 550074A7) (tstamp 54871250)
(at 120.6246 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /54860825)
(fp_text reference J10 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J10 (at -1.1176 -3.6576) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value MOSI (at 0 0) (layer F.SilkS) hide
394,9 → 385,9
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(pad 2 thru_hole rect (at 0 1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 10 /MOSI))
(net 11 "Net-(J10-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 10 /MOSI))
(net 11 "Net-(J10-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_2x01.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
404,12 → 395,12
)
)
 
(module MLAB_hreb:2mm_Pin_Header_Straight_2x02 (layer F.Cu) (tedit 54870948) (tstamp 5487125E)
(module MLAB_hreb:2mm_Pin_Header_Straight_2x02 (layer F.Cu) (tedit 550074DF) (tstamp 5487125E)
(at 117.8166 54.9498)
(descr "1 pin")
(tags "CONN DEV")
(path /5485F97F)
(fp_text reference J11 (at -0.127 -2.921) (layer F.SilkS)
(fp_text reference J11 (at 4.2558 0.0412) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value IO_PORT_#2 (at 0 3.302) (layer F.SilkS) hide
424,11 → 415,11
(pad 1 thru_hole rect (at -1 -1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 9 /SCLK))
(pad 2 thru_hole rect (at 1 -1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 11 /#SS))
(net 11 "Net-(J10-Pad1)"))
(pad 3 thru_hole rect (at -1 1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 10 /MOSI))
(net 12 "Net-(J11-Pad3)"))
(pad 4 thru_hole rect (at 1 1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 12 /MISO))
(net 10 /MISO))
(model Pin_Headers/Pin_Header_Straight_2x02.wrl
(at (xyz 0 0 0))
(scale (xyz 0.7874 0.7874 0.7874))
436,12 → 427,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54871268)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 550074AC) (tstamp 54871268)
(at 125.7046 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /54860610)
(fp_text reference J12 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J12 (at 0.381 -3.5052) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value "#SS" (at 0 0) (layer F.SilkS) hide
452,9 → 443,9
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(pad 2 thru_hole rect (at 0 1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 11 /#SS))
(net 12 "Net-(J11-Pad3)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 11 /#SS))
(net 12 "Net-(J11-Pad3)"))
(model Pin_Headers/Pin_Header_Straight_2x01.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
462,12 → 453,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54871272)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 550074AA) (tstamp 54871272)
(at 123.1646 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /54860710)
(fp_text reference J13 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J13 (at -0.4318 -3.5052) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value MISO (at 0 0) (layer F.SilkS) hide
478,9 → 469,9
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(pad 2 thru_hole rect (at 0 1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 12 /MISO))
(net 10 /MISO))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 12 /MISO))
(net 10 /MISO))
(model Pin_Headers/Pin_Header_Straight_2x01.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
488,12 → 479,12
)
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 54345FDC) (tstamp 54871277)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 55007470) (tstamp 54871277)
(at 153.1366 40.1098)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862BF8)
(fp_text reference J14 (at 0 0) (layer F.SilkS)
(fp_text reference J14 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
504,12 → 495,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 54345FDC) (tstamp 5487127C)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5500745C) (tstamp 5487127C)
(at 112.4966 40.1098)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862A8F)
(fp_text reference J15 (at 0 0) (layer F.SilkS)
(fp_text reference J15 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
520,12 → 511,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5489719A) (tstamp 54871281)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 55007468) (tstamp 54871281)
(at 153.3166 55.5498)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862E35)
(fp_text reference J16 (at 0 0) (layer F.SilkS)
(fp_text reference J16 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
536,12 → 527,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5489848E) (tstamp 54871286)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 55007453) (tstamp 54871286)
(at 112.3166 55.5498)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862E8B)
(fp_text reference J17 (at 0 0) (layer F.SilkS)
(fp_text reference J17 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
552,12 → 543,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 54345FDC) (tstamp 5487128B)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5500748A) (tstamp 5487128B)
(at 132.8166 50.2698)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862E2F)
(fp_text reference J18 (at 0 0) (layer F.SilkS)
(fp_text reference J18 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
672,38 → 663,7
)
)
 
(module Capacitors_Tantalum_SMD:TantalC_SizeB_EIA-3528_Reflow (layer B.Cu) (tedit 55005C2F) (tstamp 5500FA8F)
(at 138.684 56.1086 180)
(descr "Tantal Cap. , Size B, EIA-3528, Reflow,")
(tags "Tantal Cap. , Size B, EIA-3528, Reflow,")
(path /548601CE)
(attr smd)
(fp_text reference C2 (at -0.20066 3.29946 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10uF (at -0.09906 -3.59918 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user + (at -3.59918 2.49936 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.99974 1.89992) (end -2.99974 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49936 1.89992) (end -2.49936 1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49682 -1.89992) (end -2.5019 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start -3.60172 3.00228) (end -3.60172 1.90246) (layer B.SilkS) (width 0.15))
(fp_line (start -4.20116 2.5019) (end -3.00228 2.5019) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 1 smd rect (at -1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(net 3 +1.8V))
(model Capacitors_Tantalum_SMD/TantalC_SizeB_EIA-3528_Reflow.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 550060BF) (tstamp 55015A75)
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 55007572) (tstamp 55015A75)
(at 153.1366 47.4218 270)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
714,7 → 674,7
(fp_text value "5V POWER" (at 0 5.08 270) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54 270) (layer F.SilkS)
(fp_text user 1 (at -2.921 -2.54 270) (layer F.SilkS) hide
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
777,16 → 737,19
)
)
 
(gr_text "ODROID-U3 MLAB ADAPTER" (at 132.3086 58.8518) (layer F.SilkS)
(gr_text GPIO200 (at 142.8496 47.6758 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text MLAB (at 112.6236 47.8028 90) (layer F.SilkS)
(gr_text "ODROID-U3 MLAB BREAKOUT BOARD" (at 132.3086 59.436) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text "MLAB\n2015" (at 112.4712 47.8028) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text +5V (at 152.6286 51.4858) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text POWER (at 153.3906 43.8658) (layer F.SilkS)
(gr_text POWER (at 153.1874 44.0436) (layer F.SilkS) (tstamp 55007537)
(effects (font (size 1 1) (thickness 0.2)))
)
(gr_text GPIO204 (at 147.9296 47.6758 90) (layer F.SilkS)
795,9 → 758,6
(gr_text TX (at 145.3896 44.3738 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text GPIO200 (at 142.8496 47.6758 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text RX (at 140.3096 44.5008 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
824,82 → 784,82
(gr_line (start 107.6726 61.0616) (end 157.9606 61.0616) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 107.6726 35.2858) (end 107.6726 61.0616) (angle 90) (layer Edge.Cuts) (width 0.1))
 
(segment (start 149.2946 55.9718) (end 149.3166 55.9498) (width 1) (layer B.Cu) (net 1))
(segment (start 131.63728 59.944) (end 154.102402 59.944) (width 1) (layer B.Cu) (net 2))
(segment (start 154.102402 59.944) (end 156.816601 57.229801) (width 1) (layer B.Cu) (net 2))
(segment (start 130.67208 58.9788) (end 131.63728 59.944) (width 1) (layer B.Cu) (net 2))
(segment (start 128.4224 58.9788) (end 124.36602 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 124.36602 58.9788) (end 124.2568 58.86958) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 48.6918) (end 153.1366 50.189798) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 50.189798) (end 156.816601 53.869799) (width 1) (layer B.Cu) (net 2))
(segment (start 156.816601 53.869799) (end 156.816601 57.229801) (width 1) (layer B.Cu) (net 2))
(segment (start 149.3166 55.9498) (end 149.3166 57.5998) (width 1) (layer B.Cu) (net 2))
(segment (start 149.3166 57.5998) (end 147.9376 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 147.9376 58.9788) (end 130.67208 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 130.67208 58.9788) (end 128.4224 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 48.6918) (end 153.1366 50.1298) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 50.1298) (end 149.3166 53.9498) (width 1) (layer B.Cu) (net 2))
(segment (start 156.816601 53.869799) (end 153.1366 50.189798) (width 1) (layer B.Cu) (net 2))
(segment (start 149.3166 57.5998) (end 150.766601 59.049801) (width 1) (layer B.Cu) (net 2))
(segment (start 156.816601 57.229801) (end 156.816601 53.869799) (width 1) (layer B.Cu) (net 2))
(segment (start 150.766601 59.049801) (end 154.996601 59.049801) (width 1) (layer B.Cu) (net 2))
(segment (start 154.996601 59.049801) (end 156.816601 57.229801) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 50.189798) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 2))
(segment (start 149.2946 55.9718) (end 149.3166 55.9498) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1206 48.7078) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 46.1518) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1206 48.7078) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 2))
(segment (start 124.36602 58.9788) (end 124.2568 58.86958) (width 1) (layer B.Cu) (net 2))
(segment (start 128.4224 58.9788) (end 124.36602 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 140.2522 56.1594) (end 140.2522 47.0629) (width 1) (layer B.Cu) (net 3))
(segment (start 143.3166 55.9498) (end 140.4618 55.9498) (width 1) (layer B.Cu) (net 3))
(segment (start 140.4618 55.9498) (end 140.2522 56.1594) (width 1) (layer B.Cu) (net 3))
(segment (start 140.2522 47.0629) (end 134.7691 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 134.7691 41.5798) (end 132.2291 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 132.2291 41.5798) (end 130.4036 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 134.7691 41.5798) (end 132.9436 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 126.28499 45.44314) (end 126.0856 45.44314) (width 1) (layer B.Cu) (net 3))
(segment (start 128.6416 41.5798) (end 130.4036 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 42.887244) (end 128.6416 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 44.393974) (end 127.334156 42.887244) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 44.393974) (end 126.28499 45.44314) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 44.393974) (end 127.334156 42.887244) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 42.887244) (end 128.6416 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 128.6416 41.5798) (end 130.4036 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 126.28499 45.44314) (end 126.0856 45.44314) (width 1) (layer B.Cu) (net 3))
(segment (start 134.7691 41.5798) (end 132.9436 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 132.2291 41.5798) (end 130.4036 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 134.7691 41.5798) (end 132.2291 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 140.2522 47.0629) (end 134.7691 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 140.4618 55.9498) (end 140.2522 56.1594) (width 1) (layer B.Cu) (net 3))
(segment (start 143.3166 55.9498) (end 140.4618 55.9498) (width 1) (layer B.Cu) (net 3))
(segment (start 140.2522 56.1594) (end 140.2522 47.0629) (width 1) (layer B.Cu) (net 3))
(segment (start 143.3166 52.7998) (end 143.3166 53.9498) (width 0.5) (layer B.Cu) (net 3))
(segment (start 143.3166 48.2491) (end 143.3166 52.7998) (width 0.5) (layer B.Cu) (net 3))
(segment (start 137.668 42.6005) (end 143.3166 48.2491) (width 0.5) (layer B.Cu) (net 3))
(segment (start 137.668 42.6005) (end 143.3166 48.2491) (width 0.5) (layer B.Cu) (net 4))
(segment (start 143.3166 52.7998) (end 143.3166 53.9498) (width 0.5) (layer B.Cu) (net 4))
(segment (start 143.3166 48.2491) (end 143.3166 52.7998) (width 0.5) (layer B.Cu) (net 4))
(segment (start 137.668 41.275) (end 137.668 42.6005) (width 0.5) (layer B.Cu) (net 4))
(segment (start 137.668 38.735) (end 137.668 41.275) (width 0.5) (layer B.Cu) (net 4))
(segment (start 137.668 41.275) (end 137.668 42.6005) (width 0.5) (layer B.Cu) (net 4))
(segment (start 142.748 41.275) (end 142.748 42.6005) (width 0.5) (layer B.Cu) (net 5))
(segment (start 142.748 38.735) (end 142.748 41.275) (width 0.5) (layer B.Cu) (net 5))
(segment (start 142.748 41.275) (end 142.748 42.6005) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 55.9498) (end 145.3166 55.839798) (width 0.3) (layer B.Cu) (net 5))
(segment (start 145.3166 55.839798) (end 144.366599 54.889797) (width 0.3) (layer B.Cu) (net 5))
(segment (start 144.366599 54.889797) (end 144.366599 52.899799) (width 0.3) (layer B.Cu) (net 5))
(segment (start 144.366599 46.759099) (end 144.366599 52.899799) (width 0.5) (layer B.Cu) (net 5))
(segment (start 140.208 42.6005) (end 144.366599 46.759099) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 45.1691) (end 145.3166 52.7998) (width 0.5) (layer B.Cu) (net 5))
(segment (start 142.748 42.6005) (end 145.3166 45.1691) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 45.1691) (end 145.3166 52.7998) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 52.7998) (end 145.3166 53.9498) (width 0.5) (layer B.Cu) (net 5))
(segment (start 147.828 38.735) (end 147.828 41.275) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.3136 55.9498) (end 146.366599 55.002799) (width 0.3) (layer B.Cu) (net 6))
(segment (start 146.366599 55.002799) (end 146.366599 52.899799) (width 0.3) (layer B.Cu) (net 6))
(segment (start 147.3166 55.9498) (end 147.3136 55.9498) (width 0.3) (layer B.Cu) (net 6))
(segment (start 146.366599 43.679099) (end 146.366599 52.899799) (width 0.5) (layer B.Cu) (net 6))
(segment (start 145.288 42.6005) (end 146.366599 43.679099) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.3166 53.9498) (end 147.3166 53.7938) (width 0.4) (layer B.Cu) (net 7))
(segment (start 147.828 53.4384) (end 147.3166 53.9498) (width 0.5) (layer B.Cu) (net 7))
(segment (start 147.828 41.275) (end 147.828 53.4384) (width 0.5) (layer B.Cu) (net 7))
(segment (start 147.828 41.275) (end 147.828 53.4384) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.828 53.4384) (end 147.3166 53.9498) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.3166 53.9498) (end 147.3166 53.7938) (width 0.4) (layer B.Cu) (net 6))
(segment (start 147.3166 55.9498) (end 147.3136 55.9498) (width 0.3) (layer B.Cu) (net 7))
(segment (start 147.3136 55.9498) (end 146.366599 55.002799) (width 0.3) (layer B.Cu) (net 7))
(segment (start 146.366599 55.002799) (end 146.366599 52.899799) (width 0.3) (layer B.Cu) (net 7))
(segment (start 146.366599 43.679099) (end 146.366599 52.899799) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 42.6005) (end 146.366599 43.679099) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 41.275) (end 145.288 42.6005) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 38.735) (end 145.288 41.275) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 41.275) (end 145.288 42.6005) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.3166 52.7998) (end 145.3166 53.9498) (width 0.5) (layer B.Cu) (net 8))
(segment (start 140.208 42.6005) (end 144.366599 46.759099) (width 0.5) (layer B.Cu) (net 8))
(segment (start 144.366599 46.759099) (end 144.366599 52.899799) (width 0.5) (layer B.Cu) (net 8))
(segment (start 145.3166 55.839798) (end 144.366599 54.889797) (width 0.3) (layer B.Cu) (net 8))
(segment (start 145.3166 55.9498) (end 145.3166 55.839798) (width 0.3) (layer B.Cu) (net 8))
(segment (start 144.366599 54.889797) (end 144.366599 52.899799) (width 0.3) (layer B.Cu) (net 8))
(segment (start 140.208 41.275) (end 140.208 42.6005) (width 0.5) (layer B.Cu) (net 8))
(segment (start 140.208 38.735) (end 140.208 41.275) (width 0.5) (layer B.Cu) (net 8))
(segment (start 140.208 41.275) (end 140.208 42.6005) (width 0.5) (layer B.Cu) (net 8))
(segment (start 118.0846 41.3258) (end 118.0846 52.6818) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 52.6818) (end 116.8166 53.9498) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 38.7858) (end 118.0846 41.3258) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 52.6818) (end 116.8166 53.9498) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 41.3258) (end 118.0846 52.6818) (width 0.5) (layer B.Cu) (net 9))
(segment (start 120.6246 38.7858) (end 120.6246 41.3258) (width 0.5) (layer B.Cu) (net 10))
(segment (start 120.6246 53.2918) (end 119.9666 53.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 120.6246 41.3258) (end 120.6246 53.2918) (width 0.5) (layer B.Cu) (net 10))
(segment (start 116.8166 57.0998) (end 116.8166 55.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 117.2224 57.5056) (end 116.8166 57.0998) (width 0.5) (layer B.Cu) (net 10))
(segment (start 119.9388 57.5056) (end 117.2224 57.5056) (width 0.5) (layer B.Cu) (net 10))
(segment (start 121.385497 56.058903) (end 119.9388 57.5056) (width 0.5) (layer B.Cu) (net 10))
(segment (start 122.809 45.5469) (end 122.809 54.6354) (width 0.5) (layer B.Cu) (net 10))
(segment (start 122.809 54.6354) (end 121.385497 56.058903) (width 0.5) (layer B.Cu) (net 10))
(segment (start 125.7046 42.6513) (end 122.809 45.5469) (width 0.5) (layer B.Cu) (net 10))
(segment (start 125.7046 41.3258) (end 125.7046 42.6513) (width 0.5) (layer B.Cu) (net 11))
(segment (start 119.9666 55.9498) (end 118.8166 55.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 123.1646 38.7858) (end 123.1646 41.3258) (width 0.5) (layer B.Cu) (net 10))
(segment (start 123.1646 42.6513) (end 121.561267 44.254633) (width 0.5) (layer B.Cu) (net 10))
(segment (start 121.561267 44.254633) (end 121.561267 54.355133) (width 0.5) (layer B.Cu) (net 10))
(segment (start 123.1646 41.3258) (end 123.1646 42.6513) (width 0.5) (layer B.Cu) (net 10))
(segment (start 121.561267 54.355133) (end 119.9666 55.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 120.6246 41.3258) (end 120.6246 53.2918) (width 0.5) (layer B.Cu) (net 11))
(segment (start 120.6246 53.2918) (end 119.9666 53.9498) (width 0.5) (layer B.Cu) (net 11))
(segment (start 120.6246 38.7858) (end 120.6246 41.3258) (width 0.5) (layer B.Cu) (net 11))
(segment (start 119.9666 53.9498) (end 118.8166 53.9498) (width 0.5) (layer B.Cu) (net 11))
(segment (start 125.7046 38.7858) (end 125.7046 41.3258) (width 0.5) (layer B.Cu) (net 11))
(segment (start 121.561267 54.355133) (end 119.9666 55.9498) (width 0.5) (layer B.Cu) (net 12))
(segment (start 123.1646 41.3258) (end 123.1646 42.6513) (width 0.5) (layer B.Cu) (net 12))
(segment (start 121.561267 44.254633) (end 121.561267 54.355133) (width 0.5) (layer B.Cu) (net 12))
(segment (start 123.1646 42.6513) (end 121.561267 44.254633) (width 0.5) (layer B.Cu) (net 12))
(segment (start 123.1646 38.7858) (end 123.1646 41.3258) (width 0.5) (layer B.Cu) (net 12))
(segment (start 119.9666 55.9498) (end 118.8166 55.9498) (width 0.5) (layer B.Cu) (net 12))
(segment (start 125.7046 38.7858) (end 125.7046 41.3258) (width 0.5) (layer B.Cu) (net 12))
(segment (start 116.8166 57.0998) (end 116.8166 55.9498) (width 0.5) (layer B.Cu) (net 12))
(segment (start 117.2224 57.5056) (end 116.8166 57.0998) (width 0.5) (layer B.Cu) (net 12))
(segment (start 119.9388 57.5056) (end 117.2224 57.5056) (width 0.5) (layer B.Cu) (net 12))
(segment (start 122.504992 54.939408) (end 119.9388 57.5056) (width 0.5) (layer B.Cu) (net 12))
(segment (start 122.504992 45.850908) (end 122.504992 54.939408) (width 0.5) (layer B.Cu) (net 12))
(segment (start 125.7046 42.6513) (end 122.504992 45.850908) (width 0.5) (layer B.Cu) (net 12))
(segment (start 125.7046 41.3258) (end 125.7046 42.6513) (width 0.5) (layer B.Cu) (net 12))
 
(zone (net 1) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads yes (clearance 0.508))
918,38 → 878,43
(xy 153.8986 44.74236) (xy 152.3746 44.74236) (xy 152.132477 44.789337) (xy 151.919673 44.929127) (xy 151.777223 45.14016)
(xy 151.72716 45.3898) (xy 151.72716 46.9138) (xy 151.774137 47.155923) (xy 151.913927 47.368727) (xy 151.992141 47.421522)
(xy 151.919673 47.469127) (xy 151.777223 47.68016) (xy 151.72716 47.9298) (xy 151.72716 49.4538) (xy 151.774137 49.695923)
(xy 151.849943 49.811324) (xy 149.008908 52.65236) (xy 148.713 52.65236) (xy 148.713 42.736395) (xy 148.895623 42.700963)
(xy 149.108427 42.561173) (xy 149.250877 42.35014) (xy 149.30094 42.1005) (xy 149.30094 40.4495) (xy 149.253963 40.207377)
(xy 149.120194 40.003739) (xy 149.250877 39.81014) (xy 149.30094 39.5605) (xy 149.30094 37.9095) (xy 149.253963 37.667377)
(xy 149.114173 37.454573) (xy 148.90314 37.312123) (xy 148.6535 37.26206) (xy 147.0025 37.26206) (xy 146.760377 37.309037)
(xy 146.556739 37.442805) (xy 146.36314 37.312123) (xy 146.1135 37.26206) (xy 144.4625 37.26206) (xy 144.220377 37.309037)
(xy 144.016739 37.442805) (xy 143.82314 37.312123) (xy 143.5735 37.26206) (xy 141.9225 37.26206) (xy 141.680377 37.309037)
(xy 141.476739 37.442805) (xy 141.28314 37.312123) (xy 141.0335 37.26206) (xy 139.3825 37.26206) (xy 139.140377 37.309037)
(xy 138.936739 37.442805) (xy 138.74314 37.312123) (xy 138.4935 37.26206) (xy 136.8425 37.26206) (xy 136.600377 37.309037)
(xy 136.387573 37.448827) (xy 136.245123 37.65986) (xy 136.19506 37.9095) (xy 136.19506 39.5605) (xy 136.242037 39.802623)
(xy 136.375805 40.00626) (xy 136.245123 40.19986) (xy 136.19506 40.4495) (xy 136.19506 41.400628) (xy 135.571666 40.777234)
(xy 135.203446 40.531197) (xy 134.7691 40.4448) (xy 134.22009 40.4448) (xy 134.166273 40.362873) (xy 133.95524 40.220423)
(xy 133.7056 40.17036) (xy 132.1816 40.17036) (xy 131.939477 40.217337) (xy 131.726673 40.357127) (xy 131.673877 40.435341)
(xy 131.626273 40.362873) (xy 131.41524 40.220423) (xy 131.1656 40.17036) (xy 129.6416 40.17036) (xy 129.399477 40.217337)
(xy 129.186673 40.357127) (xy 129.127492 40.4448) (xy 128.6416 40.4448) (xy 128.207254 40.531197) (xy 127.839034 40.777234)
(xy 127.17754 41.438728) (xy 127.17754 40.5003) (xy 127.130563 40.258177) (xy 126.996794 40.054539) (xy 127.127477 39.86094)
(xy 127.17754 39.6113) (xy 127.17754 37.9603) (xy 127.130563 37.718177) (xy 126.990773 37.505373) (xy 126.77974 37.362923)
(xy 126.5301 37.31286) (xy 124.8791 37.31286) (xy 124.636977 37.359837) (xy 124.433339 37.493605) (xy 124.23974 37.362923)
(xy 123.9901 37.31286) (xy 122.3391 37.31286) (xy 122.096977 37.359837) (xy 121.893339 37.493605) (xy 121.69974 37.362923)
(xy 121.4501 37.31286) (xy 119.7991 37.31286) (xy 119.556977 37.359837) (xy 119.353339 37.493605) (xy 119.15974 37.362923)
(xy 118.9101 37.31286) (xy 117.2591 37.31286) (xy 117.016977 37.359837) (xy 116.804173 37.499627) (xy 116.661723 37.71066)
(xy 116.61166 37.9603) (xy 116.61166 39.6113) (xy 116.658637 39.853423) (xy 116.792405 40.05706) (xy 116.661723 40.25066)
(xy 116.61166 40.5003) (xy 116.61166 42.1513) (xy 116.658637 42.393423) (xy 116.798427 42.606227) (xy 117.00946 42.748677)
(xy 117.1996 42.786807) (xy 117.1996 52.31522) (xy 116.86246 52.65236) (xy 116.1666 52.65236) (xy 115.924477 52.699337)
(xy 115.711673 52.839127) (xy 115.569223 53.05016) (xy 115.51916 53.2998) (xy 115.51916 54.5998) (xy 115.566137 54.841923)
(xy 115.636984 54.949774) (xy 115.569223 55.05016) (xy 115.51916 55.2998) (xy 115.51916 56.5998) (xy 115.566137 56.841923)
(xy 115.705927 57.054727) (xy 115.91696 57.197177) (xy 115.952381 57.20428) (xy 115.952382 57.20428) (xy 115.987789 57.382284)
(xy 115.998967 57.438475) (xy 116.19081 57.72559) (xy 116.596607 58.131386) (xy 116.59661 58.13139) (xy 116.596611 58.13139)
(xy 116.883725 58.323233) (xy 116.883726 58.323233) (xy 116.939915 58.33441) (xy 117.2224 58.390601) (xy 117.2224 58.3906)
(xy 117.222405 58.3906) (xy 119.938794 58.3906) (xy 119.9388 58.390601) (xy 119.9388 58.3906) (xy 120.221284 58.33441)
(xy 120.277474 58.323233) (xy 120.277475 58.323233) (xy 120.56459 58.13139) (xy 122.011283 56.684695) (xy 122.011287 56.684693)
(xy 122.011287 56.684692) (xy 123.434786 55.261192) (xy 123.434789 55.26119) (xy 123.43479 55.26119) (xy 123.626633 54.974075)
(xy 123.694 54.6354) (xy 123.694001 54.6354) (xy 123.694 54.635394) (xy 123.694 45.913479) (xy 124.58853 45.018949)
(xy 151.913927 49.908727) (xy 152.0016 49.967907) (xy 152.0016 50.189798) (xy 152.087997 50.624144) (xy 152.334034 50.992364)
(xy 155.681601 54.339931) (xy 155.681601 56.759669) (xy 154.526469 57.914801) (xy 151.236732 57.914801) (xy 150.4516 57.129668)
(xy 150.4516 57.015921) (xy 150.563977 56.84944) (xy 150.61404 56.5998) (xy 150.61404 55.2998) (xy 150.567063 55.057677)
(xy 150.427273 54.844873) (xy 150.21624 54.702423) (xy 149.9666 54.65236) (xy 148.6666 54.65236) (xy 148.600944 54.665098)
(xy 148.61404 54.5998) (xy 148.61404 53.824357) (xy 148.61404 53.824356) (xy 148.645633 53.777075) (xy 148.645633 53.777074)
(xy 148.65681 53.720884) (xy 148.713 53.4384) (xy 148.713001 53.4384) (xy 148.713 53.438394) (xy 148.713 42.736395)
(xy 148.895623 42.700963) (xy 149.108427 42.561173) (xy 149.250877 42.35014) (xy 149.30094 42.1005) (xy 149.30094 40.4495)
(xy 149.253963 40.207377) (xy 149.120194 40.003739) (xy 149.250877 39.81014) (xy 149.30094 39.5605) (xy 149.30094 37.9095)
(xy 149.253963 37.667377) (xy 149.114173 37.454573) (xy 148.90314 37.312123) (xy 148.6535 37.26206) (xy 147.0025 37.26206)
(xy 146.760377 37.309037) (xy 146.556739 37.442805) (xy 146.36314 37.312123) (xy 146.1135 37.26206) (xy 144.4625 37.26206)
(xy 144.220377 37.309037) (xy 144.016739 37.442805) (xy 143.82314 37.312123) (xy 143.5735 37.26206) (xy 141.9225 37.26206)
(xy 141.680377 37.309037) (xy 141.476739 37.442805) (xy 141.28314 37.312123) (xy 141.0335 37.26206) (xy 139.3825 37.26206)
(xy 139.140377 37.309037) (xy 138.936739 37.442805) (xy 138.74314 37.312123) (xy 138.4935 37.26206) (xy 136.8425 37.26206)
(xy 136.600377 37.309037) (xy 136.387573 37.448827) (xy 136.245123 37.65986) (xy 136.19506 37.9095) (xy 136.19506 39.5605)
(xy 136.242037 39.802623) (xy 136.375805 40.00626) (xy 136.245123 40.19986) (xy 136.19506 40.4495) (xy 136.19506 41.400628)
(xy 135.571666 40.777234) (xy 135.203446 40.531197) (xy 134.7691 40.4448) (xy 134.22009 40.4448) (xy 134.166273 40.362873)
(xy 133.95524 40.220423) (xy 133.7056 40.17036) (xy 132.1816 40.17036) (xy 131.939477 40.217337) (xy 131.726673 40.357127)
(xy 131.673877 40.435341) (xy 131.626273 40.362873) (xy 131.41524 40.220423) (xy 131.1656 40.17036) (xy 129.6416 40.17036)
(xy 129.399477 40.217337) (xy 129.186673 40.357127) (xy 129.127492 40.4448) (xy 128.6416 40.4448) (xy 128.207254 40.531197)
(xy 127.839034 40.777234) (xy 127.17754 41.438728) (xy 127.17754 40.5003) (xy 127.130563 40.258177) (xy 126.996794 40.054539)
(xy 127.127477 39.86094) (xy 127.17754 39.6113) (xy 127.17754 37.9603) (xy 127.130563 37.718177) (xy 126.990773 37.505373)
(xy 126.77974 37.362923) (xy 126.5301 37.31286) (xy 124.8791 37.31286) (xy 124.636977 37.359837) (xy 124.433339 37.493605)
(xy 124.23974 37.362923) (xy 123.9901 37.31286) (xy 122.3391 37.31286) (xy 122.096977 37.359837) (xy 121.893339 37.493605)
(xy 121.69974 37.362923) (xy 121.4501 37.31286) (xy 119.7991 37.31286) (xy 119.556977 37.359837) (xy 119.353339 37.493605)
(xy 119.15974 37.362923) (xy 118.9101 37.31286) (xy 117.2591 37.31286) (xy 117.016977 37.359837) (xy 116.804173 37.499627)
(xy 116.661723 37.71066) (xy 116.61166 37.9603) (xy 116.61166 39.6113) (xy 116.658637 39.853423) (xy 116.792405 40.05706)
(xy 116.661723 40.25066) (xy 116.61166 40.5003) (xy 116.61166 42.1513) (xy 116.658637 42.393423) (xy 116.798427 42.606227)
(xy 117.00946 42.748677) (xy 117.1996 42.786807) (xy 117.1996 52.31522) (xy 116.86246 52.65236) (xy 116.1666 52.65236)
(xy 115.924477 52.699337) (xy 115.711673 52.839127) (xy 115.569223 53.05016) (xy 115.51916 53.2998) (xy 115.51916 54.5998)
(xy 115.566137 54.841923) (xy 115.636984 54.949774) (xy 115.569223 55.05016) (xy 115.51916 55.2998) (xy 115.51916 56.5998)
(xy 115.566137 56.841923) (xy 115.705927 57.054727) (xy 115.91696 57.197177) (xy 115.952381 57.20428) (xy 115.952382 57.20428)
(xy 115.987789 57.382284) (xy 115.998967 57.438475) (xy 116.19081 57.72559) (xy 116.596607 58.131386) (xy 116.59661 58.13139)
(xy 116.596611 58.13139) (xy 116.883725 58.323233) (xy 116.883726 58.323233) (xy 116.939915 58.33441) (xy 117.2224 58.390601)
(xy 117.2224 58.3906) (xy 117.222405 58.3906) (xy 119.938794 58.3906) (xy 119.9388 58.390601) (xy 119.9388 58.3906)
(xy 120.221284 58.33441) (xy 120.277474 58.323233) (xy 120.277475 58.323233) (xy 120.56459 58.13139) (xy 123.130778 55.5652)
(xy 123.130782 55.565198) (xy 123.130782 55.565197) (xy 123.258967 55.373354) (xy 123.322625 55.278083) (xy 123.322626 55.278082)
(xy 123.389992 54.939408) (xy 123.389993 54.939408) (xy 123.389992 54.939402) (xy 123.389992 46.217487) (xy 124.58853 45.018949)
(xy 124.58853 46.09338) (xy 124.635507 46.335503) (xy 124.775297 46.548307) (xy 124.98633 46.690757) (xy 125.23597 46.74082)
(xy 126.93523 46.74082) (xy 127.177353 46.693843) (xy 127.390157 46.554053) (xy 127.532607 46.34302) (xy 127.58267 46.09338)
(xy 127.58267 45.750592) (xy 128.136722 45.19654) (xy 128.382759 44.82832) (xy 128.382759 44.828319) (xy 128.469156 44.393974)
958,21 → 923,13
(xy 131.720927 42.796727) (xy 131.93196 42.939177) (xy 132.1816 42.98924) (xy 133.7056 42.98924) (xy 133.947723 42.942263)
(xy 134.160527 42.802473) (xy 134.219707 42.7148) (xy 134.298968 42.7148) (xy 139.1172 47.533032) (xy 139.1172 54.238805)
(xy 139.015917 54.258457) (xy 138.803113 54.398247) (xy 138.660663 54.60928) (xy 138.6106 54.85892) (xy 138.6106 57.35828)
(xy 138.657577 57.600403) (xy 138.797367 57.813207) (xy 139.0084 57.955657) (xy 139.25804 58.00572) (xy 141.20876 58.00572)
(xy 141.450883 57.958743) (xy 141.663687 57.818953) (xy 141.806137 57.60792) (xy 141.8562 57.35828) (xy 141.8562 57.0848)
(xy 142.250478 57.0848) (xy 142.41696 57.197177) (xy 142.6666 57.24724) (xy 143.9666 57.24724) (xy 144.208723 57.200263)
(xy 144.316574 57.129415) (xy 144.41696 57.197177) (xy 144.6666 57.24724) (xy 145.9666 57.24724) (xy 146.208723 57.200263)
(xy 146.316574 57.129415) (xy 146.41696 57.197177) (xy 146.6666 57.24724) (xy 147.9666 57.24724) (xy 148.208723 57.200263)
(xy 148.421527 57.060473) (xy 148.563977 56.84944) (xy 148.61404 56.5998) (xy 148.61404 55.2998) (xy 148.601301 55.234144)
(xy 148.6666 55.24724) (xy 149.9666 55.24724) (xy 150.208723 55.200263) (xy 150.421527 55.060473) (xy 150.563977 54.84944)
(xy 150.61404 54.5998) (xy 150.61404 54.257492) (xy 153.106601 51.76493) (xy 155.681601 54.339931) (xy 155.681601 56.759669)
(xy 153.632269 58.809) (xy 132.107412 58.809) (xy 131.474646 58.176234) (xy 131.106426 57.930197) (xy 130.67208 57.8438)
(xy 130.288546 57.8438) (xy 130.272543 57.761317) (xy 130.132753 57.548513) (xy 129.92172 57.406063) (xy 129.67208 57.356)
(xy 127.17272 57.356) (xy 126.930597 57.402977) (xy 126.717793 57.542767) (xy 126.575343 57.7538) (xy 126.557294 57.8438)
(xy 125.80467 57.8438) (xy 125.80467 57.6199) (xy 125.757693 57.377777) (xy 125.617903 57.164973) (xy 125.40687 57.022523)
(xy 125.15723 56.97246) (xy 123.35637 56.97246) (xy 123.114247 57.019437) (xy 122.901443 57.159227) (xy 122.758993 57.37026)
(xy 122.70893 57.6199) (xy 122.70893 60.11926) (xy 122.755907 60.361383) (xy 122.765902 60.3766) (xy 108.3576 60.3766)
(xy 108.3576 35.9708) (xy 157.2756 35.9708) (xy 157.2756 52.723666)
(xy 138.657577 57.600403) (xy 138.797367 57.813207) (xy 138.842689 57.8438) (xy 130.67208 57.8438) (xy 130.288546 57.8438)
(xy 130.272543 57.761317) (xy 130.132753 57.548513) (xy 129.92172 57.406063) (xy 129.67208 57.356) (xy 127.17272 57.356)
(xy 126.930597 57.402977) (xy 126.717793 57.542767) (xy 126.575343 57.7538) (xy 126.557294 57.8438) (xy 125.80467 57.8438)
(xy 125.80467 57.6199) (xy 125.757693 57.377777) (xy 125.617903 57.164973) (xy 125.40687 57.022523) (xy 125.15723 56.97246)
(xy 123.35637 56.97246) (xy 123.114247 57.019437) (xy 122.901443 57.159227) (xy 122.758993 57.37026) (xy 122.70893 57.6199)
(xy 122.70893 60.11926) (xy 122.755907 60.361383) (xy 122.765902 60.3766) (xy 108.3576 60.3766) (xy 108.3576 35.9708)
(xy 157.2756 35.9708) (xy 157.2756 52.723666)
)
)
)
/Modules/ARM/ODROID-U3/SCH_PCB/U3_MLAB_ADAPTER.kicad_pcb-bak
2,11 → 2,11
 
(general
(links 43)
(no_connects 18)
(area 107.622599 35.235799 158.010601 61.111601)
(no_connects 0)
(area 104.4448 30.8356 164.109401 63.017401)
(thickness 1.6)
(drawings 18)
(tracks 62)
(tracks 76)
(zones 0)
(modules 22)
(nets 13)
108,9 → 108,9
(net 7 /UART_TX)
(net 8 /UART_RX)
(net 9 /SCLK)
(net 10 /MOSI)
(net 11 /#SS)
(net 12 /MISO)
(net 10 /MISO)
(net 11 "Net-(J10-Pad1)")
(net 12 "Net-(J11-Pad3)")
 
(net_class Default "Toto je výchozí třída sítě."
(clearance 0.15)
119,15 → 119,15
(via_drill 0.635)
(uvia_dia 0.508)
(uvia_drill 0.127)
(add_net /#SS)
(add_net /GPIO199)
(add_net /GPIO200)
(add_net /GPIO204)
(add_net /MISO)
(add_net /MOSI)
(add_net /SCLK)
(add_net /UART_RX)
(add_net /UART_TX)
(add_net "Net-(J10-Pad1)")
(add_net "Net-(J11-Pad3)")
)
 
(net_class Power ""
142,39 → 142,74
(add_net GND)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x03 placed (layer F.Cu) (tedit 54870C46) (tstamp 54896DC4)
(at 153.1366 47.4218 180)
(module MLAB_hreb:2mm_Pin_Header_Straight_2x04 (layer F.Cu) (tedit 55007440) (tstamp 55015AF1)
(at 146.3166 54.9498 90)
(descr "1 pin")
(tags "CONN DEV")
(path /5485F9FC)
(fp_text reference J1 (at 1.524 0.127 180) (layer F.SilkS)
(path /5485F8D0)
(fp_text reference J6 (at -0.2952 -5.4228 180) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value "5V POWER" (at 0 0 180) (layer F.SilkS) hide
(fp_text value IOS_PORT_#1 (at 0 5.334 90) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_line (start -3.81 2.54) (end 3.81 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start 3.81 2.54) (end 3.81 -2.54) (layer F.SilkS) (width 0.254))
(fp_line (start 3.81 -2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -3.81 2.54) (end -3.81 0) (layer F.SilkS) (width 0.254))
(fp_line (start -3.81 -2.54) (end -3.81 0) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 -2.54) (end -3.81 -2.54) (layer F.SilkS) (width 0.254))
(pad 1 thru_hole rect (at -2.54 1.27 180) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 2 thru_hole rect (at 0 1.27 180) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at 2.54 1.27 180) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(fp_line (start -2 -4) (end 0 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 4) (end 2 4) (layer F.SilkS) (width 0.254))
(fp_line (start 2 4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 -4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end -2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end 0 4) (layer F.SilkS) (width 0.254))
(pad 1 thru_hole rect (at -1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(pad 2 thru_hole rect (at 1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 4 /GPIO199))
(pad 3 thru_hole rect (at -1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 8 /UART_RX))
(pad 4 thru_hole rect (at 1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 5 /GPIO200))
(pad 5 thru_hole rect (at -1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 7 /UART_TX))
(pad 6 thru_hole rect (at 1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 6 /GPIO204))
(pad 7 thru_hole rect (at -1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 2 +5V))
(pad 4 thru_hole rect (at 2.54 -1.27 180) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 2 +5V))
(pad 5 thru_hole rect (at 0 -1.27 180) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(pad 8 thru_hole rect (at 1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at -2.54 -1.27 180) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(model Pin_Headers/Pin_Header_Straight_2x04.wrl
(at (xyz 0 0 0))
(scale (xyz 0.7874 0.7874 0.7874))
(rotate (xyz 0 0 90))
)
)
 
(module Capacitors_Tantalum_SMD:TantalC_SizeB_EIA-3528_Reflow (layer B.Cu) (tedit 55005C2F) (tstamp 5500FA8F)
(at 138.684 56.1086 180)
(descr "Tantal Cap. , Size B, EIA-3528, Reflow,")
(tags "Tantal Cap. , Size B, EIA-3528, Reflow,")
(path /548601CE)
(attr smd)
(fp_text reference C2 (at -0.20066 3.29946 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10uF (at -0.09906 -3.59918 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user + (at -3.59918 2.49936 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.99974 1.89992) (end -2.99974 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49936 1.89992) (end -2.49936 1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49682 -1.89992) (end -2.5019 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start -3.60172 3.00228) (end -3.60172 1.90246) (layer B.SilkS) (width 0.15))
(fp_line (start -4.20116 2.5019) (end -3.00228 2.5019) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(pad 1 smd rect (at -1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(net 3 +1.8V))
(model Capacitors_Tantalum_SMD/TantalC_SizeB_EIA-3528_Reflow.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
(rotate (xyz 0 0 180))
)
)
 
256,82 → 291,6
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x03 placed (layer F.Cu) (tedit 54870C46) (tstamp 5489807D)
(at 131.6736 41.5798 90)
(descr "1 pin")
(tags "CONN DEV")
(path /548601AA)
(fp_text reference J5 (at -0.127 3.683 90) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value "1,8V CPU Core" (at 0 0 90) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_line (start -3.81 2.54) (end 3.81 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start 3.81 2.54) (end 3.81 -2.54) (layer F.SilkS) (width 0.254))
(fp_line (start 3.81 -2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -3.81 2.54) (end -3.81 0) (layer F.SilkS) (width 0.254))
(fp_line (start -3.81 -2.54) (end -3.81 0) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 -2.54) (end -3.81 -2.54) (layer F.SilkS) (width 0.254))
(pad 1 thru_hole rect (at -2.54 1.27 90) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 2 thru_hole rect (at 0 1.27 90) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at 2.54 1.27 90) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(pad 4 thru_hole rect (at 2.54 -1.27 90) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(pad 5 thru_hole rect (at 0 -1.27 90) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at -2.54 -1.27 90) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module MLAB_hreb:2mm_Pin_Header_Straight_2x04 (layer F.Cu) (tedit 5487093A) (tstamp 54871228)
(at 146.3166 54.9498 90)
(descr "1 pin")
(tags "CONN DEV")
(path /5485F8D0)
(fp_text reference J6 (at -3.14 3.645 180) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value IOS_PORT_#1 (at 0 5.334 90) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_line (start -2 -4) (end 0 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 4) (end 2 4) (layer F.SilkS) (width 0.254))
(fp_line (start 2 4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start 0 -4) (end 2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end -2 -4) (layer F.SilkS) (width 0.254))
(fp_line (start -2 4) (end 0 4) (layer F.SilkS) (width 0.254))
(pad 1 thru_hole rect (at -1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 4 /GPIO199))
(pad 2 thru_hole rect (at 1 -3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(pad 3 thru_hole rect (at -1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 5 /GPIO200))
(pad 4 thru_hole rect (at 1 -1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 8 /UART_RX))
(pad 5 thru_hole rect (at -1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 6 /GPIO204))
(pad 6 thru_hole rect (at 1 1 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 7 /UART_TX))
(pad 7 thru_hole rect (at -1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 8 thru_hole rect (at 1 3 90) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 2 +5V))
(model Pin_Headers/Pin_Header_Straight_2x04.wrl
(at (xyz 0 0 0))
(scale (xyz 0.7874 0.7874 0.7874))
(rotate (xyz 0 0 90))
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54896E72)
(at 140.208 40.005)
(descr "1 pin")
384,12 → 343,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54874ADA)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 55007496) (tstamp 54874ADA)
(at 118.0846 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /5486082B)
(fp_text reference J9 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J9 (at -1.2192 -3.6322) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value SCLK (at 0 0) (layer F.SilkS) hide
410,12 → 369,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54871250)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 550074A7) (tstamp 54871250)
(at 120.6246 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /54860825)
(fp_text reference J10 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J10 (at -1.1176 -3.6576) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value MOSI (at 0 0) (layer F.SilkS) hide
426,9 → 385,9
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(pad 2 thru_hole rect (at 0 1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 10 /MOSI))
(net 11 "Net-(J10-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 10 /MOSI))
(net 11 "Net-(J10-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_2x01.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
436,12 → 395,12
)
)
 
(module MLAB_hreb:2mm_Pin_Header_Straight_2x02 (layer F.Cu) (tedit 54870948) (tstamp 5487125E)
(module MLAB_hreb:2mm_Pin_Header_Straight_2x02 (layer F.Cu) (tedit 550074DF) (tstamp 5487125E)
(at 117.8166 54.9498)
(descr "1 pin")
(tags "CONN DEV")
(path /5485F97F)
(fp_text reference J11 (at -0.127 -2.921) (layer F.SilkS)
(fp_text reference J11 (at 4.2558 0.0412) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value IO_PORT_#2 (at 0 3.302) (layer F.SilkS) hide
456,11 → 415,11
(pad 1 thru_hole rect (at -1 -1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 9 /SCLK))
(pad 2 thru_hole rect (at 1 -1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 11 /#SS))
(net 11 "Net-(J10-Pad1)"))
(pad 3 thru_hole rect (at -1 1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 10 /MOSI))
(net 12 "Net-(J11-Pad3)"))
(pad 4 thru_hole rect (at 1 1) (size 1.3 1.3) (drill 0.7) (layers *.Cu *.Mask F.SilkS)
(net 12 /MISO))
(net 10 /MISO))
(model Pin_Headers/Pin_Header_Straight_2x02.wrl
(at (xyz 0 0 0))
(scale (xyz 0.7874 0.7874 0.7874))
468,12 → 427,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54871268)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 550074AC) (tstamp 54871268)
(at 125.7046 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /54860610)
(fp_text reference J12 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J12 (at 0.381 -3.5052) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value "#SS" (at 0 0) (layer F.SilkS) hide
484,9 → 443,9
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(pad 2 thru_hole rect (at 0 1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 11 /#SS))
(net 12 "Net-(J11-Pad3)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 11 /#SS))
(net 12 "Net-(J11-Pad3)"))
(model Pin_Headers/Pin_Header_Straight_2x01.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
494,12 → 453,12
)
)
 
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 5472F1F7) (tstamp 54871272)
(module MLAB_hreb:Pin_Header_Straight_2x01 placed (layer F.Cu) (tedit 550074AA) (tstamp 54871272)
(at 123.1646 40.0558)
(descr "1 pin")
(tags "CONN DEV")
(path /54860710)
(fp_text reference J13 (at 0 -3.81) (layer F.SilkS)
(fp_text reference J13 (at -0.4318 -3.5052) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.2032)))
)
(fp_text value MISO (at 0 0) (layer F.SilkS) hide
510,9 → 469,9
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.254))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.254))
(pad 2 thru_hole rect (at 0 1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 12 /MISO))
(net 10 /MISO))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.651 1.651) (drill 0.9) (layers *.Cu *.Mask F.SilkS)
(net 12 /MISO))
(net 10 /MISO))
(model Pin_Headers/Pin_Header_Straight_2x01.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
520,12 → 479,12
)
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 54345FDC) (tstamp 54871277)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 55007470) (tstamp 54871277)
(at 153.1366 40.1098)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862BF8)
(fp_text reference J14 (at 0 0) (layer F.SilkS)
(fp_text reference J14 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
536,12 → 495,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 54345FDC) (tstamp 5487127C)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5500745C) (tstamp 5487127C)
(at 112.4966 40.1098)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862A8F)
(fp_text reference J15 (at 0 0) (layer F.SilkS)
(fp_text reference J15 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
552,12 → 511,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5489719A) (tstamp 54871281)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 55007468) (tstamp 54871281)
(at 153.3166 55.5498)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862E35)
(fp_text reference J16 (at 0 0) (layer F.SilkS)
(fp_text reference J16 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
568,12 → 527,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5489848E) (tstamp 54871286)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 55007453) (tstamp 54871286)
(at 112.3166 55.5498)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862E8B)
(fp_text reference J17 (at 0 0) (layer F.SilkS)
(fp_text reference J17 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
584,12 → 543,12
(net 1 GND) (clearance 1) (zone_connect 2))
)
 
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 54345FDC) (tstamp 5487128B)
(module MLAB_dira:MountingHole_3mm placed (layer F.Cu) (tedit 5500748A) (tstamp 5487128B)
(at 132.8166 50.2698)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /54862E2F)
(fp_text reference J18 (at 0 0) (layer F.SilkS)
(fp_text reference J18 (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.15)))
)
(fp_text value M3 (at 1 5) (layer F.SilkS) hide
638,8 → 597,8
)
)
 
(module Diodes_SMD:Diode-MiniMELF_Standard (layer B.Cu) (tedit 55005B0E) (tstamp 5500F146)
(at 128.1176 42.9768 90)
(module Diodes_SMD:Diode-MiniMELF_Standard (layer B.Cu) (tedit 55005B0E) (tstamp 55015ACE)
(at 126.0856 47.1932 90)
(descr "Diode Mini-MELF Standard")
(tags "Diode Mini-MELF Standard")
(path /548601BC)
704,43 → 663,89
)
)
 
(module Capacitors_Tantalum_SMD:TantalC_SizeB_EIA-3528_Reflow (layer B.Cu) (tedit 55005C2F) (tstamp 5500FA8F)
(at 138.684 56.1086 180)
(descr "Tantal Cap. , Size B, EIA-3528, Reflow,")
(tags "Tantal Cap. , Size B, EIA-3528, Reflow,")
(path /548601CE)
(attr smd)
(fp_text reference C2 (at -0.20066 3.29946 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 550060BF) (tstamp 55015A75)
(at 153.1366 47.4218 270)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /5485F9FC)
(fp_text reference J1 (at 0 -5.08 270) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value 10uF (at -0.09906 -3.59918 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
(fp_text value "5V POWER" (at 0 5.08 270) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user + (at -3.59918 2.49936 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
(fp_text user 1 (at -2.921 -2.54 270) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.99974 1.89992) (end -2.99974 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49936 1.89992) (end -2.49936 1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start 2.49682 -1.89992) (end -2.5019 -1.89992) (layer B.SilkS) (width 0.15))
(fp_line (start -3.60172 3.00228) (end -3.60172 1.90246) (layer B.SilkS) (width 0.15))
(fp_line (start -4.20116 2.5019) (end -3.00228 2.5019) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 1 smd rect (at -1.5494 0 180) (size 1.95072 2.49936) (layers B.Cu B.Paste B.Mask)
(pad 2 thru_hole rect (at 1.27 -2.54 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at -1.27 0 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 +5V))
(pad 4 thru_hole rect (at 1.27 0 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 +5V))
(pad 5 thru_hole rect (at -1.27 2.54 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at 1.27 2.54 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 550060C5) (tstamp 55015A83)
(at 131.6736 41.5798 180)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /548601AA)
(fp_text reference J5 (at 0 -5.08 180) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value "1,8V CPU Core" (at 0 5.08 180) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54 180) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 2 thru_hole rect (at 1.27 -2.54 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at -1.27 0 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(model Capacitors_Tantalum_SMD/TantalC_SizeB_EIA-3528_Reflow.wrl
(pad 4 thru_hole rect (at 1.27 0 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 3 +1.8V))
(pad 5 thru_hole rect (at -1.27 2.54 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at 1.27 2.54 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
(rotate (xyz 0 0 90))
)
)
 
(gr_text "ODROID-U3 MLAB ADAPTER" (at 132.3086 58.8518) (layer F.SilkS)
(gr_text GPIO200 (at 142.8496 47.6758 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text MLAB (at 112.6236 47.8028 90) (layer F.SilkS)
(gr_text "ODROID-U3 MLAB BREAKOUT BOARD" (at 132.3086 59.436) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text "MLAB\n2015" (at 112.4712 47.8028) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text +5V (at 152.6286 51.4858) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
753,9 → 758,6
(gr_text TX (at 145.3896 44.3738 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text GPIO200 (at 142.8496 47.6758 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text RX (at 140.3096 44.5008 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
782,68 → 784,82
(gr_line (start 107.6726 61.0616) (end 157.9606 61.0616) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 107.6726 35.2858) (end 107.6726 61.0616) (angle 90) (layer Edge.Cuts) (width 0.1))
 
(segment (start 153.1366 48.6918) (end 153.1366 46.1518) (width 1) (layer B.Cu) (net 1))
(segment (start 149.2946 55.9718) (end 149.3166 55.9498) (width 1) (layer B.Cu) (net 1))
(segment (start 153.1206 48.7078) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 1))
(segment (start 134.7691 41.5798) (end 132.9436 41.5798) (width 1) (layer B.Cu) (net 1))
(segment (start 132.2291 41.5798) (end 130.4036 41.5798) (width 1) (layer B.Cu) (net 1))
(segment (start 134.7691 41.5798) (end 132.2291 41.5798) (width 1) (layer B.Cu) (net 1))
(segment (start 140.2522 47.0629) (end 134.7691 41.5798) (width 1) (layer B.Cu) (net 1))
(segment (start 149.3166 55.9498) (end 149.3166 57.5998) (width 1) (layer B.Cu) (net 2))
(segment (start 149.3166 57.5998) (end 147.9376 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 147.9376 58.9788) (end 130.67208 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 130.67208 58.9788) (end 128.4224 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 156.816601 53.869799) (end 153.1366 50.189798) (width 1) (layer B.Cu) (net 2))
(segment (start 149.3166 57.5998) (end 150.766601 59.049801) (width 1) (layer B.Cu) (net 2))
(segment (start 156.816601 57.229801) (end 156.816601 53.869799) (width 1) (layer B.Cu) (net 2))
(segment (start 150.766601 59.049801) (end 154.996601 59.049801) (width 1) (layer B.Cu) (net 2))
(segment (start 154.996601 59.049801) (end 156.816601 57.229801) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 50.189798) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 2))
(segment (start 149.2946 55.9718) (end 149.3166 55.9498) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1206 48.7078) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 2))
(segment (start 153.1366 46.1518) (end 153.1366 48.6918) (width 1) (layer B.Cu) (net 2))
(segment (start 124.36602 58.9788) (end 124.2568 58.86958) (width 1) (layer B.Cu) (net 2))
(segment (start 128.4224 58.9788) (end 124.36602 58.9788) (width 1) (layer B.Cu) (net 2))
(segment (start 140.2522 56.1594) (end 140.2522 47.0629) (width 1) (layer B.Cu) (net 3))
(segment (start 143.3166 55.9498) (end 140.4618 55.9498) (width 1) (layer B.Cu) (net 3))
(segment (start 140.4618 55.9498) (end 140.2522 56.1594) (width 1) (layer B.Cu) (net 3))
(segment (start 143.3166 55.9498) (end 140.4618 55.9498) (width 1) (layer B.Cu) (net 3))
(segment (start 140.2522 56.1594) (end 140.2522 47.0629) (width 1) (layer B.Cu) (net 3))
(segment (start 128.5146 41.5798) (end 128.1176 41.9768) (width 1) (layer B.Cu) (net 3))
(segment (start 130.4036 41.5798) (end 128.5146 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 143.3166 52.7998) (end 143.3166 53.9498) (width 0.5) (layer B.Cu) (net 3))
(segment (start 143.3166 48.2491) (end 143.3166 52.7998) (width 0.5) (layer B.Cu) (net 3))
(segment (start 137.668 42.6005) (end 143.3166 48.2491) (width 0.5) (layer B.Cu) (net 3))
(segment (start 140.2522 47.0629) (end 134.7691 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 134.7691 41.5798) (end 132.2291 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 132.2291 41.5798) (end 130.4036 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 134.7691 41.5798) (end 132.9436 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 126.28499 45.44314) (end 126.0856 45.44314) (width 1) (layer B.Cu) (net 3))
(segment (start 128.6416 41.5798) (end 130.4036 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 42.887244) (end 128.6416 41.5798) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 44.393974) (end 127.334156 42.887244) (width 1) (layer B.Cu) (net 3))
(segment (start 127.334156 44.393974) (end 126.28499 45.44314) (width 1) (layer B.Cu) (net 3))
(segment (start 137.668 42.6005) (end 143.3166 48.2491) (width 0.5) (layer B.Cu) (net 4))
(segment (start 143.3166 52.7998) (end 143.3166 53.9498) (width 0.5) (layer B.Cu) (net 4))
(segment (start 143.3166 48.2491) (end 143.3166 52.7998) (width 0.5) (layer B.Cu) (net 4))
(segment (start 137.668 41.275) (end 137.668 42.6005) (width 0.5) (layer B.Cu) (net 4))
(segment (start 137.668 38.735) (end 137.668 41.275) (width 0.5) (layer B.Cu) (net 4))
(segment (start 137.668 41.275) (end 137.668 42.6005) (width 0.5) (layer B.Cu) (net 4))
(segment (start 142.748 41.275) (end 142.748 42.6005) (width 0.5) (layer B.Cu) (net 5))
(segment (start 142.748 38.735) (end 142.748 41.275) (width 0.5) (layer B.Cu) (net 5))
(segment (start 142.748 41.275) (end 142.748 42.6005) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 55.9498) (end 145.3166 55.839798) (width 0.3) (layer B.Cu) (net 5))
(segment (start 145.3166 55.839798) (end 144.366599 54.889797) (width 0.3) (layer B.Cu) (net 5))
(segment (start 144.366599 54.889797) (end 144.366599 52.899799) (width 0.3) (layer B.Cu) (net 5))
(segment (start 144.366599 46.759099) (end 144.366599 52.899799) (width 0.5) (layer B.Cu) (net 5))
(segment (start 140.208 42.6005) (end 144.366599 46.759099) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 45.1691) (end 145.3166 52.7998) (width 0.5) (layer B.Cu) (net 5))
(segment (start 142.748 42.6005) (end 145.3166 45.1691) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 45.1691) (end 145.3166 52.7998) (width 0.5) (layer B.Cu) (net 5))
(segment (start 145.3166 52.7998) (end 145.3166 53.9498) (width 0.5) (layer B.Cu) (net 5))
(segment (start 147.828 38.735) (end 147.828 41.275) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.3136 55.9498) (end 146.366599 55.002799) (width 0.3) (layer B.Cu) (net 6))
(segment (start 146.366599 55.002799) (end 146.366599 52.899799) (width 0.3) (layer B.Cu) (net 6))
(segment (start 147.3166 55.9498) (end 147.3136 55.9498) (width 0.3) (layer B.Cu) (net 6))
(segment (start 146.366599 43.679099) (end 146.366599 52.899799) (width 0.5) (layer B.Cu) (net 6))
(segment (start 145.288 42.6005) (end 146.366599 43.679099) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.3166 53.9498) (end 147.3166 53.7938) (width 0.4) (layer B.Cu) (net 7))
(segment (start 147.828 53.4384) (end 147.3166 53.9498) (width 0.5) (layer B.Cu) (net 7))
(segment (start 147.828 41.275) (end 147.828 53.4384) (width 0.5) (layer B.Cu) (net 7))
(segment (start 147.828 41.275) (end 147.828 53.4384) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.828 53.4384) (end 147.3166 53.9498) (width 0.5) (layer B.Cu) (net 6))
(segment (start 147.3166 53.9498) (end 147.3166 53.7938) (width 0.4) (layer B.Cu) (net 6))
(segment (start 147.3166 55.9498) (end 147.3136 55.9498) (width 0.3) (layer B.Cu) (net 7))
(segment (start 147.3136 55.9498) (end 146.366599 55.002799) (width 0.3) (layer B.Cu) (net 7))
(segment (start 146.366599 55.002799) (end 146.366599 52.899799) (width 0.3) (layer B.Cu) (net 7))
(segment (start 146.366599 43.679099) (end 146.366599 52.899799) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 42.6005) (end 146.366599 43.679099) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 41.275) (end 145.288 42.6005) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 38.735) (end 145.288 41.275) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.288 41.275) (end 145.288 42.6005) (width 0.5) (layer B.Cu) (net 7))
(segment (start 145.3166 52.7998) (end 145.3166 53.9498) (width 0.5) (layer B.Cu) (net 8))
(segment (start 140.208 42.6005) (end 144.366599 46.759099) (width 0.5) (layer B.Cu) (net 8))
(segment (start 144.366599 46.759099) (end 144.366599 52.899799) (width 0.5) (layer B.Cu) (net 8))
(segment (start 145.3166 55.839798) (end 144.366599 54.889797) (width 0.3) (layer B.Cu) (net 8))
(segment (start 145.3166 55.9498) (end 145.3166 55.839798) (width 0.3) (layer B.Cu) (net 8))
(segment (start 144.366599 54.889797) (end 144.366599 52.899799) (width 0.3) (layer B.Cu) (net 8))
(segment (start 140.208 41.275) (end 140.208 42.6005) (width 0.5) (layer B.Cu) (net 8))
(segment (start 140.208 38.735) (end 140.208 41.275) (width 0.5) (layer B.Cu) (net 8))
(segment (start 140.208 41.275) (end 140.208 42.6005) (width 0.5) (layer B.Cu) (net 8))
(segment (start 118.0846 41.3258) (end 118.0846 52.6818) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 52.6818) (end 116.8166 53.9498) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 38.7858) (end 118.0846 41.3258) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 52.6818) (end 116.8166 53.9498) (width 0.5) (layer B.Cu) (net 9))
(segment (start 118.0846 41.3258) (end 118.0846 52.6818) (width 0.5) (layer B.Cu) (net 9))
(segment (start 120.6246 38.7858) (end 120.6246 41.3258) (width 0.5) (layer B.Cu) (net 10))
(segment (start 120.6246 53.2918) (end 119.9666 53.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 120.6246 41.3258) (end 120.6246 53.2918) (width 0.5) (layer B.Cu) (net 10))
(segment (start 116.8166 57.0998) (end 116.8166 55.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 117.2224 57.5056) (end 116.8166 57.0998) (width 0.5) (layer B.Cu) (net 10))
(segment (start 119.9388 57.5056) (end 117.2224 57.5056) (width 0.5) (layer B.Cu) (net 10))
(segment (start 121.385497 56.058903) (end 119.9388 57.5056) (width 0.5) (layer B.Cu) (net 10))
(segment (start 122.809 45.5469) (end 122.809 54.6354) (width 0.5) (layer B.Cu) (net 10))
(segment (start 122.809 54.6354) (end 121.385497 56.058903) (width 0.5) (layer B.Cu) (net 10))
(segment (start 125.7046 42.6513) (end 122.809 45.5469) (width 0.5) (layer B.Cu) (net 10))
(segment (start 125.7046 41.3258) (end 125.7046 42.6513) (width 0.5) (layer B.Cu) (net 11))
(segment (start 119.9666 55.9498) (end 118.8166 55.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 123.1646 38.7858) (end 123.1646 41.3258) (width 0.5) (layer B.Cu) (net 10))
(segment (start 123.1646 42.6513) (end 121.561267 44.254633) (width 0.5) (layer B.Cu) (net 10))
(segment (start 121.561267 44.254633) (end 121.561267 54.355133) (width 0.5) (layer B.Cu) (net 10))
(segment (start 123.1646 41.3258) (end 123.1646 42.6513) (width 0.5) (layer B.Cu) (net 10))
(segment (start 121.561267 54.355133) (end 119.9666 55.9498) (width 0.5) (layer B.Cu) (net 10))
(segment (start 120.6246 41.3258) (end 120.6246 53.2918) (width 0.5) (layer B.Cu) (net 11))
(segment (start 120.6246 53.2918) (end 119.9666 53.9498) (width 0.5) (layer B.Cu) (net 11))
(segment (start 120.6246 38.7858) (end 120.6246 41.3258) (width 0.5) (layer B.Cu) (net 11))
(segment (start 119.9666 53.9498) (end 118.8166 53.9498) (width 0.5) (layer B.Cu) (net 11))
(segment (start 125.7046 38.7858) (end 125.7046 41.3258) (width 0.5) (layer B.Cu) (net 11))
(segment (start 121.561267 54.355133) (end 119.9666 55.9498) (width 0.5) (layer B.Cu) (net 12))
(segment (start 123.1646 41.3258) (end 123.1646 42.6513) (width 0.5) (layer B.Cu) (net 12))
(segment (start 121.561267 44.254633) (end 121.561267 54.355133) (width 0.5) (layer B.Cu) (net 12))
(segment (start 123.1646 42.6513) (end 121.561267 44.254633) (width 0.5) (layer B.Cu) (net 12))
(segment (start 123.1646 38.7858) (end 123.1646 41.3258) (width 0.5) (layer B.Cu) (net 12))
(segment (start 119.9666 55.9498) (end 118.8166 55.9498) (width 0.5) (layer B.Cu) (net 12))
(segment (start 125.7046 38.7858) (end 125.7046 41.3258) (width 0.5) (layer B.Cu) (net 12))
(segment (start 116.8166 57.0998) (end 116.8166 55.9498) (width 0.5) (layer B.Cu) (net 12))
(segment (start 117.2224 57.5056) (end 116.8166 57.0998) (width 0.5) (layer B.Cu) (net 12))
(segment (start 119.9388 57.5056) (end 117.2224 57.5056) (width 0.5) (layer B.Cu) (net 12))
(segment (start 122.504992 54.939408) (end 119.9388 57.5056) (width 0.5) (layer B.Cu) (net 12))
(segment (start 122.504992 45.850908) (end 122.504992 54.939408) (width 0.5) (layer B.Cu) (net 12))
(segment (start 125.7046 42.6513) (end 122.504992 45.850908) (width 0.5) (layer B.Cu) (net 12))
(segment (start 125.7046 41.3258) (end 125.7046 42.6513) (width 0.5) (layer B.Cu) (net 12))
 
(zone (net 1) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads yes (clearance 0.508))
856,68 → 872,64
)
(filled_polygon
(pts
(xy 157.2756 60.3766) (xy 108.3576 60.3766) (xy 108.3576 35.9708) (xy 157.2756 35.9708) (xy 157.2756 52.027668)
(xy 155.423166 50.175234) (xy 155.054946 49.929197) (xy 154.6206 49.8428) (xy 154.50827 49.8428) (xy 154.559477 49.76694)
(xy 154.60954 49.5173) (xy 154.60954 47.8663) (xy 154.562563 47.624177) (xy 154.428794 47.420539) (xy 154.559477 47.22694)
(xy 154.60954 46.9773) (xy 154.60954 45.3263) (xy 154.562563 45.084177) (xy 154.422773 44.871373) (xy 154.21174 44.728923)
(xy 153.9621 44.67886) (xy 152.3111 44.67886) (xy 152.068977 44.725837) (xy 151.856173 44.865627) (xy 151.713723 45.07666)
(xy 151.66366 45.3263) (xy 151.66366 46.9773) (xy 151.710637 47.219423) (xy 151.844405 47.42306) (xy 151.713723 47.61666)
(xy 151.66366 47.8663) (xy 151.66366 49.5173) (xy 151.710637 49.759423) (xy 151.850427 49.972227) (xy 151.9856 50.06347)
(xy 151.9856 50.415767) (xy 151.97316 50.4778) (xy 151.97316 51.4778) (xy 152.020137 51.719923) (xy 152.159927 51.932727)
(xy 152.37096 52.075177) (xy 152.6206 52.12524) (xy 153.6206 52.12524) (xy 153.684716 52.1128) (xy 154.150468 52.1128)
(xy 155.681601 53.643933) (xy 155.681601 56.759669) (xy 154.47047 57.9708) (xy 152.247332 57.9708) (xy 152.097166 57.820634)
(xy 151.728946 57.574597) (xy 151.2946 57.4882) (xy 150.915847 57.4882) (xy 150.895063 57.381077) (xy 150.755273 57.168273)
(xy 150.54424 57.025823) (xy 150.456758 57.008279) (xy 150.563977 56.84944) (xy 150.61404 56.5998) (xy 150.61404 55.2998)
(xy 150.567063 55.057677) (xy 150.427273 54.844873) (xy 150.21624 54.702423) (xy 149.9666 54.65236) (xy 148.6666 54.65236)
(xy 148.600944 54.665098) (xy 148.61404 54.5998) (xy 148.61404 53.824357) (xy 148.61404 53.824356) (xy 148.645633 53.777075)
(xy 148.645633 53.777074) (xy 148.65681 53.720884) (xy 148.713 53.4384) (xy 148.713001 53.4384) (xy 148.713 53.438394)
(xy 148.713 42.736395) (xy 148.895623 42.700963) (xy 149.108427 42.561173) (xy 149.250877 42.35014) (xy 149.30094 42.1005)
(xy 149.30094 40.4495) (xy 149.253963 40.207377) (xy 149.120194 40.003739) (xy 149.250877 39.81014) (xy 149.30094 39.5605)
(xy 149.30094 37.9095) (xy 149.253963 37.667377) (xy 149.114173 37.454573) (xy 148.90314 37.312123) (xy 148.6535 37.26206)
(xy 147.0025 37.26206) (xy 146.760377 37.309037) (xy 146.556739 37.442805) (xy 146.36314 37.312123) (xy 146.1135 37.26206)
(xy 144.4625 37.26206) (xy 144.220377 37.309037) (xy 144.016739 37.442805) (xy 143.82314 37.312123) (xy 143.5735 37.26206)
(xy 141.9225 37.26206) (xy 141.680377 37.309037) (xy 141.476739 37.442805) (xy 141.28314 37.312123) (xy 141.0335 37.26206)
(xy 139.3825 37.26206) (xy 139.140377 37.309037) (xy 138.936739 37.442805) (xy 138.74314 37.312123) (xy 138.4935 37.26206)
(xy 136.8425 37.26206) (xy 136.600377 37.309037) (xy 136.387573 37.448827) (xy 136.245123 37.65986) (xy 136.19506 37.9095)
(xy 136.19506 39.5605) (xy 136.242037 39.802623) (xy 136.375805 40.00626) (xy 136.245123 40.19986) (xy 136.19506 40.4495)
(xy 136.19506 41.400628) (xy 135.571666 40.777234) (xy 135.203446 40.531197) (xy 134.7691 40.4448) (xy 134.325303 40.4448)
(xy 134.229773 40.299373) (xy 134.01874 40.156923) (xy 133.7691 40.10686) (xy 132.1181 40.10686) (xy 131.875977 40.153837)
(xy 131.672339 40.287605) (xy 131.47874 40.156923) (xy 131.2291 40.10686) (xy 129.5781 40.10686) (xy 129.335977 40.153837)
(xy 129.123173 40.293627) (xy 129.021129 40.4448) (xy 128.5146 40.4448) (xy 128.080254 40.531197) (xy 127.712034 40.777234)
(xy 127.659908 40.82936) (xy 127.6176 40.82936) (xy 127.375477 40.876337) (xy 127.17754 41.00636) (xy 127.17754 40.5003)
(xy 127.130563 40.258177) (xy 126.996794 40.054539) (xy 127.127477 39.86094) (xy 127.17754 39.6113) (xy 127.17754 37.9603)
(xy 127.130563 37.718177) (xy 126.990773 37.505373) (xy 126.77974 37.362923) (xy 126.5301 37.31286) (xy 124.8791 37.31286)
(xy 124.636977 37.359837) (xy 124.433339 37.493605) (xy 124.23974 37.362923) (xy 123.9901 37.31286) (xy 122.3391 37.31286)
(xy 122.096977 37.359837) (xy 121.893339 37.493605) (xy 121.69974 37.362923) (xy 121.4501 37.31286) (xy 119.7991 37.31286)
(xy 119.556977 37.359837) (xy 119.353339 37.493605) (xy 119.15974 37.362923) (xy 118.9101 37.31286) (xy 117.2591 37.31286)
(xy 117.016977 37.359837) (xy 116.804173 37.499627) (xy 116.661723 37.71066) (xy 116.61166 37.9603) (xy 116.61166 39.6113)
(xy 116.658637 39.853423) (xy 116.792405 40.05706) (xy 116.661723 40.25066) (xy 116.61166 40.5003) (xy 116.61166 42.1513)
(xy 116.658637 42.393423) (xy 116.798427 42.606227) (xy 117.00946 42.748677) (xy 117.1996 42.786807) (xy 117.1996 52.31522)
(xy 116.86246 52.65236) (xy 116.1666 52.65236) (xy 115.924477 52.699337) (xy 115.711673 52.839127) (xy 115.569223 53.05016)
(xy 115.51916 53.2998) (xy 115.51916 54.5998) (xy 115.566137 54.841923) (xy 115.636984 54.949774) (xy 115.569223 55.05016)
(xy 115.51916 55.2998) (xy 115.51916 56.5998) (xy 115.566137 56.841923) (xy 115.705927 57.054727) (xy 115.91696 57.197177)
(xy 115.952381 57.20428) (xy 115.952382 57.20428) (xy 115.987789 57.382284) (xy 115.998967 57.438475) (xy 116.19081 57.72559)
(xy 116.596607 58.131386) (xy 116.59661 58.13139) (xy 116.596611 58.13139) (xy 116.883725 58.323233) (xy 116.883726 58.323233)
(xy 116.939915 58.33441) (xy 117.2224 58.390601) (xy 117.2224 58.3906) (xy 117.222405 58.3906) (xy 119.938794 58.3906)
(xy 119.9388 58.390601) (xy 119.9388 58.3906) (xy 120.221284 58.33441) (xy 120.277474 58.323233) (xy 120.277475 58.323233)
(xy 120.56459 58.13139) (xy 126.330386 52.365592) (xy 126.33039 52.36559) (xy 126.33039 52.365589) (xy 126.458575 52.173746)
(xy 126.522233 52.078475) (xy 126.522234 52.078474) (xy 126.5896 51.7398) (xy 126.589601 51.7398) (xy 126.5896 51.739794)
(xy 126.5896 42.787195) (xy 126.772223 42.751763) (xy 126.985027 42.611973) (xy 126.99385 42.598901) (xy 127.017137 42.718923)
(xy 127.156927 42.931727) (xy 127.36796 43.074177) (xy 127.6176 43.12424) (xy 128.6176 43.12424) (xy 128.859723 43.077263)
(xy 129.072527 42.937473) (xy 129.122402 42.863585) (xy 129.32846 43.002677) (xy 129.5781 43.05274) (xy 131.2291 43.05274)
(xy 131.471223 43.005763) (xy 131.67486 42.871994) (xy 131.86846 43.002677) (xy 132.1181 43.05274) (xy 133.7691 43.05274)
(xy 134.011223 43.005763) (xy 134.224027 42.865973) (xy 134.315148 42.73098) (xy 139.1172 47.533032) (xy 139.1172 54.538152)
(xy 139.010077 54.558937) (xy 138.797273 54.698727) (xy 138.654823 54.90976) (xy 138.60476 55.1594) (xy 138.60476 57.1594)
(xy 138.651737 57.401523) (xy 138.791527 57.614327) (xy 139.00256 57.756777) (xy 139.2522 57.80684) (xy 141.2522 57.80684)
(xy 141.494323 57.759863) (xy 141.707127 57.620073) (xy 141.849577 57.40904) (xy 141.89964 57.1594) (xy 141.89964 57.0848)
(xy 142.250478 57.0848) (xy 142.41696 57.197177) (xy 142.6666 57.24724) (xy 143.9666 57.24724) (xy 144.208723 57.200263)
(xy 144.316574 57.129415) (xy 144.41696 57.197177) (xy 144.6666 57.24724) (xy 145.9666 57.24724) (xy 146.208723 57.200263)
(xy 146.316574 57.129415) (xy 146.41696 57.197177) (xy 146.6666 57.24724) (xy 147.78249 57.24724) (xy 147.697223 57.37356)
(xy 147.64716 57.6232) (xy 147.64716 59.6232) (xy 147.694137 59.865323) (xy 147.833927 60.078127) (xy 148.04496 60.220577)
(xy 148.2946 60.27064) (xy 150.2946 60.27064) (xy 150.536723 60.223663) (xy 150.749527 60.083873) (xy 150.891977 59.87284)
(xy 150.899849 59.833582) (xy 150.974633 59.908366) (xy 150.974634 59.908366) (xy 151.342854 60.154403) (xy 151.7772 60.2408)
(xy 154.940602 60.2408) (xy 155.374947 60.154403) (xy 155.374948 60.154403) (xy 155.743168 59.908366) (xy 157.2756 58.375934)
(xy 157.2756 60.3766)
(xy 157.2756 52.723666) (xy 154.399016 49.847082) (xy 154.495977 49.70344) (xy 154.54604 49.4538) (xy 154.54604 47.9298)
(xy 154.499063 47.687677) (xy 154.359273 47.474873) (xy 154.281058 47.422077) (xy 154.353527 47.374473) (xy 154.495977 47.16344)
(xy 154.54604 46.9138) (xy 154.54604 45.3898) (xy 154.499063 45.147677) (xy 154.359273 44.934873) (xy 154.14824 44.792423)
(xy 153.8986 44.74236) (xy 152.3746 44.74236) (xy 152.132477 44.789337) (xy 151.919673 44.929127) (xy 151.777223 45.14016)
(xy 151.72716 45.3898) (xy 151.72716 46.9138) (xy 151.774137 47.155923) (xy 151.913927 47.368727) (xy 151.992141 47.421522)
(xy 151.919673 47.469127) (xy 151.777223 47.68016) (xy 151.72716 47.9298) (xy 151.72716 49.4538) (xy 151.774137 49.695923)
(xy 151.913927 49.908727) (xy 152.0016 49.967907) (xy 152.0016 50.189798) (xy 152.087997 50.624144) (xy 152.334034 50.992364)
(xy 155.681601 54.339931) (xy 155.681601 56.759669) (xy 154.526469 57.914801) (xy 151.236732 57.914801) (xy 150.4516 57.129668)
(xy 150.4516 57.015921) (xy 150.563977 56.84944) (xy 150.61404 56.5998) (xy 150.61404 55.2998) (xy 150.567063 55.057677)
(xy 150.427273 54.844873) (xy 150.21624 54.702423) (xy 149.9666 54.65236) (xy 148.6666 54.65236) (xy 148.600944 54.665098)
(xy 148.61404 54.5998) (xy 148.61404 53.824357) (xy 148.61404 53.824356) (xy 148.645633 53.777075) (xy 148.645633 53.777074)
(xy 148.65681 53.720884) (xy 148.713 53.4384) (xy 148.713001 53.4384) (xy 148.713 53.438394) (xy 148.713 42.736395)
(xy 148.895623 42.700963) (xy 149.108427 42.561173) (xy 149.250877 42.35014) (xy 149.30094 42.1005) (xy 149.30094 40.4495)
(xy 149.253963 40.207377) (xy 149.120194 40.003739) (xy 149.250877 39.81014) (xy 149.30094 39.5605) (xy 149.30094 37.9095)
(xy 149.253963 37.667377) (xy 149.114173 37.454573) (xy 148.90314 37.312123) (xy 148.6535 37.26206) (xy 147.0025 37.26206)
(xy 146.760377 37.309037) (xy 146.556739 37.442805) (xy 146.36314 37.312123) (xy 146.1135 37.26206) (xy 144.4625 37.26206)
(xy 144.220377 37.309037) (xy 144.016739 37.442805) (xy 143.82314 37.312123) (xy 143.5735 37.26206) (xy 141.9225 37.26206)
(xy 141.680377 37.309037) (xy 141.476739 37.442805) (xy 141.28314 37.312123) (xy 141.0335 37.26206) (xy 139.3825 37.26206)
(xy 139.140377 37.309037) (xy 138.936739 37.442805) (xy 138.74314 37.312123) (xy 138.4935 37.26206) (xy 136.8425 37.26206)
(xy 136.600377 37.309037) (xy 136.387573 37.448827) (xy 136.245123 37.65986) (xy 136.19506 37.9095) (xy 136.19506 39.5605)
(xy 136.242037 39.802623) (xy 136.375805 40.00626) (xy 136.245123 40.19986) (xy 136.19506 40.4495) (xy 136.19506 41.400628)
(xy 135.571666 40.777234) (xy 135.203446 40.531197) (xy 134.7691 40.4448) (xy 134.22009 40.4448) (xy 134.166273 40.362873)
(xy 133.95524 40.220423) (xy 133.7056 40.17036) (xy 132.1816 40.17036) (xy 131.939477 40.217337) (xy 131.726673 40.357127)
(xy 131.673877 40.435341) (xy 131.626273 40.362873) (xy 131.41524 40.220423) (xy 131.1656 40.17036) (xy 129.6416 40.17036)
(xy 129.399477 40.217337) (xy 129.186673 40.357127) (xy 129.127492 40.4448) (xy 128.6416 40.4448) (xy 128.207254 40.531197)
(xy 127.839034 40.777234) (xy 127.17754 41.438728) (xy 127.17754 40.5003) (xy 127.130563 40.258177) (xy 126.996794 40.054539)
(xy 127.127477 39.86094) (xy 127.17754 39.6113) (xy 127.17754 37.9603) (xy 127.130563 37.718177) (xy 126.990773 37.505373)
(xy 126.77974 37.362923) (xy 126.5301 37.31286) (xy 124.8791 37.31286) (xy 124.636977 37.359837) (xy 124.433339 37.493605)
(xy 124.23974 37.362923) (xy 123.9901 37.31286) (xy 122.3391 37.31286) (xy 122.096977 37.359837) (xy 121.893339 37.493605)
(xy 121.69974 37.362923) (xy 121.4501 37.31286) (xy 119.7991 37.31286) (xy 119.556977 37.359837) (xy 119.353339 37.493605)
(xy 119.15974 37.362923) (xy 118.9101 37.31286) (xy 117.2591 37.31286) (xy 117.016977 37.359837) (xy 116.804173 37.499627)
(xy 116.661723 37.71066) (xy 116.61166 37.9603) (xy 116.61166 39.6113) (xy 116.658637 39.853423) (xy 116.792405 40.05706)
(xy 116.661723 40.25066) (xy 116.61166 40.5003) (xy 116.61166 42.1513) (xy 116.658637 42.393423) (xy 116.798427 42.606227)
(xy 117.00946 42.748677) (xy 117.1996 42.786807) (xy 117.1996 52.31522) (xy 116.86246 52.65236) (xy 116.1666 52.65236)
(xy 115.924477 52.699337) (xy 115.711673 52.839127) (xy 115.569223 53.05016) (xy 115.51916 53.2998) (xy 115.51916 54.5998)
(xy 115.566137 54.841923) (xy 115.636984 54.949774) (xy 115.569223 55.05016) (xy 115.51916 55.2998) (xy 115.51916 56.5998)
(xy 115.566137 56.841923) (xy 115.705927 57.054727) (xy 115.91696 57.197177) (xy 115.952381 57.20428) (xy 115.952382 57.20428)
(xy 115.987789 57.382284) (xy 115.998967 57.438475) (xy 116.19081 57.72559) (xy 116.596607 58.131386) (xy 116.59661 58.13139)
(xy 116.596611 58.13139) (xy 116.883725 58.323233) (xy 116.883726 58.323233) (xy 116.939915 58.33441) (xy 117.2224 58.390601)
(xy 117.2224 58.3906) (xy 117.222405 58.3906) (xy 119.938794 58.3906) (xy 119.9388 58.390601) (xy 119.9388 58.3906)
(xy 120.221284 58.33441) (xy 120.277474 58.323233) (xy 120.277475 58.323233) (xy 120.56459 58.13139) (xy 123.130778 55.5652)
(xy 123.130782 55.565198) (xy 123.130782 55.565197) (xy 123.258967 55.373354) (xy 123.322625 55.278083) (xy 123.322626 55.278082)
(xy 123.389992 54.939408) (xy 123.389993 54.939408) (xy 123.389992 54.939402) (xy 123.389992 46.217487) (xy 124.58853 45.018949)
(xy 124.58853 46.09338) (xy 124.635507 46.335503) (xy 124.775297 46.548307) (xy 124.98633 46.690757) (xy 125.23597 46.74082)
(xy 126.93523 46.74082) (xy 127.177353 46.693843) (xy 127.390157 46.554053) (xy 127.532607 46.34302) (xy 127.58267 46.09338)
(xy 127.58267 45.750592) (xy 128.136722 45.19654) (xy 128.382759 44.82832) (xy 128.382759 44.828319) (xy 128.469156 44.393974)
(xy 128.469156 43.357376) (xy 129.111732 42.7148) (xy 129.127109 42.7148) (xy 129.180927 42.796727) (xy 129.39196 42.939177)
(xy 129.6416 42.98924) (xy 131.1656 42.98924) (xy 131.407723 42.942263) (xy 131.620527 42.802473) (xy 131.673322 42.724258)
(xy 131.720927 42.796727) (xy 131.93196 42.939177) (xy 132.1816 42.98924) (xy 133.7056 42.98924) (xy 133.947723 42.942263)
(xy 134.160527 42.802473) (xy 134.219707 42.7148) (xy 134.298968 42.7148) (xy 139.1172 47.533032) (xy 139.1172 54.238805)
(xy 139.015917 54.258457) (xy 138.803113 54.398247) (xy 138.660663 54.60928) (xy 138.6106 54.85892) (xy 138.6106 57.35828)
(xy 138.657577 57.600403) (xy 138.797367 57.813207) (xy 138.842689 57.8438) (xy 130.67208 57.8438) (xy 130.288546 57.8438)
(xy 130.272543 57.761317) (xy 130.132753 57.548513) (xy 129.92172 57.406063) (xy 129.67208 57.356) (xy 127.17272 57.356)
(xy 126.930597 57.402977) (xy 126.717793 57.542767) (xy 126.575343 57.7538) (xy 126.557294 57.8438) (xy 125.80467 57.8438)
(xy 125.80467 57.6199) (xy 125.757693 57.377777) (xy 125.617903 57.164973) (xy 125.40687 57.022523) (xy 125.15723 56.97246)
(xy 123.35637 56.97246) (xy 123.114247 57.019437) (xy 122.901443 57.159227) (xy 122.758993 57.37026) (xy 122.70893 57.6199)
(xy 122.70893 60.11926) (xy 122.755907 60.361383) (xy 122.765902 60.3766) (xy 108.3576 60.3766) (xy 108.3576 35.9708)
(xy 157.2756 35.9708) (xy 157.2756 52.723666)
)
)
)
/Modules/ARM/ODROID-U3/SCH_PCB/U3_MLAB_ADAPTER.pro
1,4 → 1,4
update=St 11. březen 2015, 16:54:46 CET
update=St 11. březen 2015, 18:08:04 CET
version=1
last_client=kicad
[cvpcb]
/Modules/ARM/ODROID-U3/SCH_PCB/U3_MLAB_ADAPTER.sch
31,7 → 31,6
LIBS:valves
LIBS:header
LIBS:konektory
LIBS:Jumpers
LIBS:U3_MLAB_ADAPTER-cache
EELAYER 25 0
EELAYER END
52,7 → 51,7
U 1 1 5485F8D0
P 3600 3400
F 0 "J6" H 3600 3150 60 0000 C CNN
F 1 "IOS_PORT_#1" H 3600 3650 60 0000 C CNN
F 1 "IOS_PORT_#1" H 3780 3750 60 0000 C CNN
F 2 "MLAB_hreb:2mm_Pin_Header_Straight_2x04" H 3600 3550 60 0001 C CNN
F 3 "" H 3600 3550 60 0000 C CNN
1 3600 3400
264,13 → 263,13
$Comp
L HEADER_2x01_PARALLEL J12
U 1 1 54860610
P 8350 3100
F 0 "J12" H 8350 3200 60 0000 C CNN
F 1 "#SS" H 8600 3100 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 8350 3100 60 0001 C CNN
F 3 "" H 8350 3100 60 0000 C CNN
1 8350 3100
1 0 0 -1
P 6650 3510
F 0 "J12" H 6650 3610 60 0000 C CNN
F 1 "#SS" H 6900 3510 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 6650 3510 60 0001 C CNN
F 3 "" H 6650 3510 60 0000 C CNN
1 6650 3510
-1 0 0 1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J13
286,13 → 285,13
$Comp
L HEADER_2x01_PARALLEL J10
U 1 1 54860825
P 6650 3500
F 0 "J10" H 6650 3400 60 0000 C CNN
F 1 "MOSI" H 6900 3500 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 6650 3500 60 0001 C CNN
F 3 "" H 6650 3500 60 0000 C CNN
1 6650 3500
-1 0 0 1
P 8500 3090
F 0 "J10" H 8500 2990 60 0000 C CNN
F 1 "MOSI" H 8750 3090 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 8500 3090 60 0001 C CNN
F 3 "" H 8500 3090 60 0000 C CNN
1 8500 3090
1 0 0 1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J9
314,10 → 313,6
Wire Wire Line
7800 3250 8000 3250
Wire Wire Line
8000 3250 8000 3100
Wire Wire Line
8000 3100 8100 3100
Wire Wire Line
7300 3250 7150 3250
Wire Wire Line
7150 3250 7150 3150
324,141 → 319,119
Wire Wire Line
7150 3150 6900 3150
Wire Wire Line
6900 3500 7150 3500
8250 3090 8000 3090
Wire Wire Line
7150 3500 7150 3350
Wire Wire Line
7150 3350 7300 3350
$Comp
L +5V #PWR09
U 1 1 54860E24
P 4100 2950
F 0 "#PWR09" H 4100 3040 20 0001 C CNN
F 1 "+5V" H 4100 3040 30 0000 C CNN
F 2 "" H 4100 2950 60 0000 C CNN
F 3 "" H 4100 2950 60 0000 C CNN
1 4100 2950
1 0 0 -1
P 3280 3550
F 0 "#PWR09" H 3280 3640 20 0001 C CNN
F 1 "+5V" V 3210 3610 30 0000 C CNN
F 2 "" H 3280 3550 60 0000 C CNN
F 3 "" H 3280 3550 60 0000 C CNN
1 3280 3550
0 -1 -1 0
$EndComp
Wire Wire Line
4100 2950 4100 3550
Wire Wire Line
4100 3550 3850 3550
$Comp
L +1.8V #PWR010
U 1 1 54860E81
P 3950 2950
F 0 "#PWR010" H 3950 3090 20 0001 C CNN
F 1 "+1.8V" H 3950 3060 30 0000 C CNN
F 2 "" H 3950 2950 60 0000 C CNN
F 3 "" H 3950 2950 60 0000 C CNN
1 3950 2950
P 3240 3060
F 0 "#PWR010" H 3240 3200 20 0001 C CNN
F 1 "+1.8V" H 3240 3170 30 0000 C CNN
F 2 "" H 3240 3060 60 0000 C CNN
F 3 "" H 3240 3060 60 0000 C CNN
1 3240 3060
1 0 0 -1
$EndComp
Wire Wire Line
3850 3250 3950 3250
Wire Wire Line
3950 3250 3950 2950
$Comp
L HEADER_2x01_PARALLEL J2
U 1 1 5486108C
P 2550 3100
F 0 "J2" H 2550 3000 60 0000 C CNN
F 1 "GPIO199" H 2900 3100 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 2550 3100 60 0001 C CNN
F 3 "" H 2550 3100 60 0000 C CNN
1 2550 3100
-1 0 0 1
P 4650 3100
F 0 "J2" H 4650 3000 60 0000 C CNN
F 1 "GPIO199" H 5000 3100 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 4650 3100 60 0001 C CNN
F 3 "" H 4650 3100 60 0000 C CNN
1 4650 3100
1 0 0 1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J3
U 1 1 54861113
P 2550 3300
F 0 "J3" H 2550 3200 60 0000 C CNN
F 1 "GPIO200" H 2900 3300 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 2550 3300 60 0001 C CNN
F 3 "" H 2550 3300 60 0000 C CNN
1 2550 3300
-1 0 0 1
P 4650 3290
F 0 "J3" H 4650 3190 60 0000 C CNN
F 1 "GPIO200" H 5000 3290 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 4650 3290 60 0001 C CNN
F 3 "" H 4650 3290 60 0000 C CNN
1 4650 3290
1 0 0 1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J4
U 1 1 54861163
P 2550 3500
F 0 "J4" H 2550 3400 60 0000 C CNN
F 1 "GPIO204" H 2900 3500 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 2550 3500 60 0001 C CNN
F 3 "" H 2550 3500 60 0000 C CNN
1 2550 3500
-1 0 0 1
P 4650 3500
F 0 "J4" H 4650 3400 60 0000 C CNN
F 1 "GPIO204" H 5000 3500 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 4650 3500 60 0001 C CNN
F 3 "" H 4650 3500 60 0000 C CNN
1 4650 3500
1 0 0 1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J8
U 1 1 5486130F
P 4950 3500
F 0 "J8" H 4950 3600 60 0000 C CNN
F 1 "UART_TX" H 5300 3500 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 4950 3500 60 0001 C CNN
F 3 "" H 4950 3500 60 0000 C CNN
1 4950 3500
1 0 0 -1
P 2750 3500
F 0 "J8" H 2750 3600 60 0000 C CNN
F 1 "UART_TX" H 3100 3500 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 2750 3500 60 0001 C CNN
F 3 "" H 2750 3500 60 0000 C CNN
1 2750 3500
-1 0 0 -1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J7
U 1 1 54861315
P 4950 3300
F 0 "J7" H 4950 3400 60 0000 C CNN
F 1 "UART_RX" H 5300 3300 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 4950 3300 60 0001 C CNN
F 3 "" H 4950 3300 60 0000 C CNN
1 4950 3300
1 0 0 -1
P 2750 3350
F 0 "J7" H 2750 3450 60 0000 C CNN
F 1 "UART_RX" H 3100 3350 60 0000 C CNN
F 2 "MLAB_hreb:Pin_Header_Straight_2x01" H 2750 3350 60 0001 C CNN
F 3 "" H 2750 3350 60 0000 C CNN
1 2750 3350
-1 0 0 -1
$EndComp
Wire Wire Line
3850 3450 4350 3450
4400 3100 4250 3100
Wire Wire Line
2800 3100 2950 3100
4250 3100 4250 3250
Wire Wire Line
2950 3100 2950 3250
4250 3250 3850 3250
Wire Wire Line
2950 3250 3350 3250
4400 3500 4300 3500
Wire Wire Line
3350 3350 2900 3350
4300 3500 4300 3450
Wire Wire Line
2900 3350 2900 3300
4300 3450 3850 3450
Wire Wire Line
2900 3300 2800 3300
3350 3450 3350 3500
Wire Wire Line
2800 3500 2900 3500
3350 3500 3000 3500
Wire Wire Line
2900 3500 2900 3450
3000 3350 3350 3350
Wire Wire Line
2900 3450 3350 3450
4350 3290 4350 3350
Wire Wire Line
4350 3450 4350 3500
Wire Wire Line
4350 3500 4700 3500
Wire Wire Line
4700 3300 4350 3300
Wire Wire Line
4350 3300 4350 3350
Wire Wire Line
4350 3350 3850 3350
$Comp
L GND #PWR011
U 1 1 54861F1A
P 3250 3650
F 0 "#PWR011" H 3250 3650 30 0001 C CNN
F 1 "GND" H 3250 3580 30 0001 C CNN
F 2 "" H 3250 3650 60 0000 C CNN
F 3 "" H 3250 3650 60 0000 C CNN
1 3250 3650
P 3910 3600
F 0 "#PWR011" H 3910 3600 30 0001 C CNN
F 1 "GND" H 3910 3530 30 0001 C CNN
F 2 "" H 3910 3600 60 0000 C CNN
F 3 "" H 3910 3600 60 0000 C CNN
1 3910 3600
1 0 0 -1
$EndComp
Wire Wire Line
3250 3550 3250 3650
Wire Wire Line
3350 3550 3250 3550
$Comp
L CONN1_1 J15
U 1 1 54862A8F
553,20 → 526,38
8100 4500 8100 5250
Text Label 6900 3150 0 60 ~ 0
SCLK
Text Label 6900 3500 0 60 ~ 0
MOSI
Text Label 7800 3250 0 60 ~ 0
Text Label 6940 3470 0 60 ~ 0
#SS
Text Label 7800 3350 0 60 ~ 0
MISO
Text Label 4350 3300 0 60 ~ 0
Text Label 3350 3350 2 60 ~ 0
UART_RX
Text Label 4350 3500 0 60 ~ 0
Text Label 3350 3500 2 60 ~ 0
UART_TX
Text Label 2950 3250 0 60 ~ 0
Text Label 4250 3250 2 60 ~ 0
GPIO199
Text Label 2950 3350 0 60 ~ 0
Text Label 4250 3350 2 60 ~ 0
GPIO200
Text Label 2950 3450 0 60 ~ 0
Text Label 4250 3450 2 60 ~ 0
GPIO204
Text Label 8200 3080 2 60 ~ 0
MOSI
Wire Wire Line
7150 3350 7150 3510
Wire Wire Line
7150 3510 6900 3510
Wire Wire Line
8000 3090 8000 3250
Wire Wire Line
3850 3550 3910 3550
Wire Wire Line
3910 3550 3910 3600
Wire Wire Line
4400 3290 4350 3290
Wire Wire Line
3350 3550 3280 3550
Wire Wire Line
3350 3250 3240 3250
Wire Wire Line
3240 3250 3240 3060
$EndSCHEMATC
/Modules/ARM/ODROID-U3/TODO.txt
1,5 → 1,0
Zvazit zvetseni pouzder ochrannych diod pro zvadnuti vetsich proudu. Soucasne velikosti pouzder nepasuji ani na pouzdro minimelf.
 
Rovnou je potreba i zkontrolovat velikosti pouzder kondenzatoru. Layout desky je potreba upravovat s ohledem na konstrukci mechanickeho adapteru do MLABu.
 
Zoptimalizovat tisk prevysu. (zmensit tloustku vrstvy)