/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv-cache.lib
1,238 → 1,250
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# C
#
DEF C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "C" 25 -100 50 H V L CNN
F2 "" 38 -150 30 H V C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
C?
C_????_*
C_????
SMD*_c
Capacitor*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 40 40 1 1 P
X ~ 2 0 -150 110 U 40 40 1 1 P
ENDDRAW
ENDDEF
#
# CP
#
DEF CP C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "CP" 25 -100 50 H V L CNN
F2 "" 38 -150 30 H V C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
CP*
Elko*
TantalC*
C*elec
c_elec*
SMD*_Pol
$ENDFPLIST
DRAW
S -90 20 -90 40 0 1 0 N
S -90 20 90 20 0 1 0 N
S -70 90 -30 90 0 1 0 N
S -50 70 -50 110 0 1 0 N
S 90 -20 -90 -40 0 1 0 F
S 90 40 -90 40 0 1 0 N
S 90 40 90 20 0 1 0 N
X ~ 1 0 150 110 D 40 40 1 1 P
X ~ 2 0 -150 110 U 40 40 1 1 P
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# HEADER_1x03
#
DEF HEADER_1x03 J 0 40 Y Y 1 F N
F0 "J" 0 -200 60 H V C CNN
F1 "HEADER_1x03" 0 200 60 H V C CNN
F2 "" 0 100 60 H V C CNN
F3 "" 0 100 60 H V C CNN
DRAW
C 0 -100 15 0 1 0 N
C 0 0 15 0 1 0 N
C 0 100 15 0 1 0 N
S -50 150 50 -150 0 1 0 f
P 2 0 1 0 -50 -100 -15 -100 N
P 2 0 1 0 -50 0 -15 0 N
P 2 0 1 0 -50 100 -15 100 N
X ~ 1 -200 100 150 R 50 50 1 1 I
X ~ 2 -200 0 150 R 50 50 1 1 I
X ~ 3 -200 -100 150 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# HEADER_2x01_PARALLEL
#
DEF HEADER_2x01_PARALLEL J 0 40 Y Y 1 F N
F0 "J" 0 -100 60 H V C CNN
F1 "HEADER_2x01_PARALLEL" 0 100 60 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
C -50 0 15 0 1 0 N
C 50 0 15 0 1 0 N
S -100 50 100 -50 0 1 0 f
P 2 0 1 0 -65 0 -100 0 N
P 2 0 1 0 -35 0 35 0 N
X ~ 1 -250 0 150 R 50 50 1 1 I
X ~ 2 -250 0 150 R 50 50 1 1 I N
ENDDRAW
ENDDEF
#
# HEADER_2x03_PARALLEL
#
DEF HEADER_2x03_PARALLEL J 0 40 Y Y 1 F N
F0 "J" 0 -200 60 H V C CNN
F1 "HEADER_2x03_PARALLEL" 0 200 60 H V C CNN
F2 "" 0 100 60 H V C CNN
F3 "" 0 100 60 H V C CNN
DRAW
C -50 -100 15 0 1 0 N
C -50 0 15 0 1 0 N
C -50 100 15 0 1 0 N
C 50 -100 15 0 1 0 N
C 50 0 15 0 1 0 N
C 50 100 15 0 1 0 N
S -100 150 100 -150 0 1 0 f
P 2 0 1 0 -65 -100 -100 -100 N
P 2 0 1 0 -65 0 -100 0 N
P 2 0 1 0 -65 100 -100 100 N
P 2 0 1 0 -35 -100 35 -100 N
P 2 0 1 0 -35 0 35 0 N
P 2 0 1 0 -35 100 35 100 N
X ~ 1 -250 100 150 R 50 50 1 1 I
X ~ 2 -250 100 150 R 50 50 1 1 I N
X ~ 3 -250 0 150 R 50 50 1 1 I
X ~ 4 -250 0 150 R 50 50 1 1 I N
X ~ 5 -250 -100 150 R 50 50 1 1 I
X ~ 6 -250 -100 150 R 50 50 1 1 I N
ENDDRAW
ENDDEF
#
# HOLE
#
DEF HOLE M 0 40 N N 1 F N
F0 "M" 0 100 60 H V C CNN
F1 "HOLE" 0 -100 60 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
C 0 0 25 0 1 10 N
X ~ 1 50 0 25 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# LTC3109GN
#
DEF LTC3109GN U 0 40 Y Y 1 F N
F0 "U" 250 -1050 60 H V C CNN
F1 "LTC3109GN" 0 950 60 H V C CNN
F2 "" 0 750 60 H V C CNN
F3 "" 0 750 60 H V C CNN
DRAW
S -400 -1000 400 900 0 1 0 f
X VS1 1 -600 -800 200 R 50 50 1 1 I
X VS2 2 -600 -900 200 R 50 50 1 1 I
X VSTORE 3 600 -500 200 L 50 50 1 1 O
X VAUX 4 600 -700 200 L 50 50 1 1 O
X V_OUT 5 600 550 200 L 50 50 1 1 O
X V_OUT2 6 600 700 200 L 50 50 1 1 O
X V_OUT2_EN 7 600 0 200 L 50 50 1 1 I
X PGOOD 8 600 800 200 L 50 50 1 1 O
X VLDO 9 600 300 200 L 50 50 1 1 O
X GND 10 0 -1200 200 U 50 50 1 1 B
X C1A 20 -600 800 200 R 50 50 1 1 I
X C1B 11 -600 0 200 R 50 50 1 1 I
X C2B 12 -600 -250 200 R 50 50 1 1 I
X GND 13 100 -1200 200 U 50 50 1 1 B
X SWB 14 -600 -600 200 R 50 50 1 1 I
X V_INB 15 -600 -700 200 R 50 50 1 1 I
X V_INA 16 -600 200 200 R 50 50 1 1 I
X SWA 17 -600 300 200 R 50 50 1 1 I
X GND 18 -100 -1200 200 U 50 50 1 1 B
X C2A 19 -600 550 200 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Step-Up_TRANSFO
#
DEF Step-Up_TRANSFO T 0 40 Y N 1 F N
F0 "T" 0 250 50 H V C CNN
F1 "Step-Up_TRANSFO" 0 -300 50 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
A -100 -150 50 899 1 0 1 0 N -100 -100 -50 -150
A -100 -150 50 -1 -899 0 1 0 N -50 -150 -100 -199
A -100 -50 50 899 1 0 1 0 N -100 0 -50 -50
A -100 -50 50 -1 -899 0 1 0 N -50 -50 -100 -99
A -100 50 50 899 1 0 1 0 N -100 100 -50 50
A -100 50 50 -1 -899 0 1 0 N -50 50 -100 1
A -100 150 50 899 1 0 1 0 N -100 200 -50 150
A -100 150 50 -1 -899 0 1 0 N -50 150 -100 101
A 100 -50 50 899 -1799 0 1 0 N 100 0 51 -50
A 100 -50 50 1799 -899 0 1 0 N 51 -50 100 -99
A 100 50 50 899 -1799 0 1 0 N 100 100 51 50
A 100 50 50 1799 -899 0 1 0 N 51 50 100 1
A 100 150 50 899 -1799 0 1 0 N 100 200 51 150
A 100 150 50 1799 -899 0 1 0 N 51 150 100 101
A 101 -150 50 910 -1799 0 1 0 N 101 -100 52 -150
A 101 -150 50 -912 -1799 0 1 0 N 101 -199 52 -150
C -140 150 22 0 1 0 F
C 140 150 22 0 1 0 F
P 2 0 1 0 -25 200 -25 -200 N
P 2 0 1 0 25 -200 25 200 N
X AA 1 -400 200 300 R 60 60 1 1 P
X AB 2 -400 -200 300 R 60 60 1 1 P
X SA 3 400 -200 300 L 60 60 1 1 P
X SB 4 400 200 300 L 60 60 1 1 P
ENDDRAW
ENDDEF
#
# THERM_GEN
#
DEF THERM_GEN U 0 40 Y Y 1 F N
F0 "U" 20 -130 60 H V C CNN
F1 "THERM_GEN" 0 160 60 H V C CNN
F2 "" -110 90 60 H V C CNN
F3 "" -110 90 60 H V C CNN
DRAW
S -200 -20 -50 -50 0 1 0 F
S -160 80 -150 -30 0 1 0 F
S -100 80 -90 -30 0 1 0 F
S 50 -20 200 -50 0 1 0 F
S 90 80 100 -30 0 1 0 F
S 150 80 160 -30 0 1 0 F
S 200 80 -200 50 0 1 0 F
P 2 0 1 0 -180 -100 -180 -30 N
P 2 0 1 0 180 -100 180 -20 N
X ~ 1 -280 -100 100 R 50 50 1 1 B
X ~ 2 280 -100 100 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
#End Library
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# C
#
DEF C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "C" 25 -100 50 H V L CNN
F2 "" 38 -150 30 H V C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
C?
C_????_*
C_????
SMD*_c
Capacitor*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 40 40 1 1 P
X ~ 2 0 -150 110 U 40 40 1 1 P
ENDDRAW
ENDDEF
#
# CP
#
DEF CP C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "CP" 25 -100 50 H V L CNN
F2 "" 38 -150 30 H V C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
CP*
Elko*
TantalC*
C*elec
c_elec*
SMD*_Pol
$ENDFPLIST
DRAW
S -90 20 -90 40 0 1 0 N
S -90 20 90 20 0 1 0 N
S -70 90 -30 90 0 1 0 N
S -50 70 -50 110 0 1 0 N
S 90 -20 -90 -40 0 1 0 F
S 90 40 -90 40 0 1 0 N
S 90 40 90 20 0 1 0 N
X ~ 1 0 150 110 D 40 40 1 1 P
X ~ 2 0 -150 110 U 40 40 1 1 P
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# HEADER_1x03
#
DEF HEADER_1x03 J 0 40 Y Y 1 F N
F0 "J" 0 -200 60 H V C CNN
F1 "HEADER_1x03" 0 200 60 H V C CNN
F2 "" 0 100 60 H V C CNN
F3 "" 0 100 60 H V C CNN
DRAW
C 0 -100 15 0 1 0 N
C 0 0 15 0 1 0 N
C 0 100 15 0 1 0 N
S -50 150 50 -150 0 1 0 f
P 2 0 1 0 -50 -100 -15 -100 N
P 2 0 1 0 -50 0 -15 0 N
P 2 0 1 0 -50 100 -15 100 N
X ~ 1 -200 100 150 R 50 50 1 1 I
X ~ 2 -200 0 150 R 50 50 1 1 I
X ~ 3 -200 -100 150 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# HEADER_2x01_PARALLEL
#
DEF HEADER_2x01_PARALLEL J 0 40 Y Y 1 F N
F0 "J" 0 -100 60 H V C CNN
F1 "HEADER_2x01_PARALLEL" 0 100 60 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
C -50 0 15 0 1 0 N
C 50 0 15 0 1 0 N
S -100 50 100 -50 0 1 0 f
P 2 0 1 0 -65 0 -100 0 N
P 2 0 1 0 -35 0 35 0 N
X ~ 1 -250 0 150 R 50 50 1 1 I
X ~ 2 -250 0 150 R 50 50 1 1 I N
ENDDRAW
ENDDEF
#
# HEADER_2x03_PARALLEL
#
DEF HEADER_2x03_PARALLEL J 0 40 Y Y 1 F N
F0 "J" 0 -200 60 H V C CNN
F1 "HEADER_2x03_PARALLEL" 0 200 60 H V C CNN
F2 "" 0 100 60 H V C CNN
F3 "" 0 100 60 H V C CNN
DRAW
C -50 -100 15 0 1 0 N
C -50 0 15 0 1 0 N
C -50 100 15 0 1 0 N
C 50 -100 15 0 1 0 N
C 50 0 15 0 1 0 N
C 50 100 15 0 1 0 N
S -100 150 100 -150 0 1 0 f
P 2 0 1 0 -65 -100 -100 -100 N
P 2 0 1 0 -65 0 -100 0 N
P 2 0 1 0 -65 100 -100 100 N
P 2 0 1 0 -35 -100 35 -100 N
P 2 0 1 0 -35 0 35 0 N
P 2 0 1 0 -35 100 35 100 N
X ~ 1 -250 100 150 R 50 50 1 1 I
X ~ 2 -250 100 150 R 50 50 1 1 I N
X ~ 3 -250 0 150 R 50 50 1 1 I
X ~ 4 -250 0 150 R 50 50 1 1 I N
X ~ 5 -250 -100 150 R 50 50 1 1 I
X ~ 6 -250 -100 150 R 50 50 1 1 I N
ENDDRAW
ENDDEF
#
# HOLE
#
DEF HOLE M 0 40 N N 1 F N
F0 "M" 0 100 60 H V C CNN
F1 "HOLE" 0 -100 60 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
C 0 0 25 0 1 10 N
X ~ 1 50 0 25 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# LTC3109GN
#
DEF LTC3109GN U 0 40 Y Y 1 F N
F0 "U" 250 -1050 60 H V C CNN
F1 "LTC3109GN" 0 950 60 H V C CNN
F2 "" 0 750 60 H V C CNN
F3 "" 0 750 60 H V C CNN
DRAW
S -400 -1000 400 900 0 1 0 f
X VS1 1 -600 -800 200 R 50 50 1 1 I
X VS2 2 -600 -900 200 R 50 50 1 1 I
X VSTORE 3 600 -500 200 L 50 50 1 1 O
X VAUX 4 600 -700 200 L 50 50 1 1 O
X V_OUT 5 600 550 200 L 50 50 1 1 O
X V_OUT2 6 600 700 200 L 50 50 1 1 O
X V_OUT2_EN 7 600 0 200 L 50 50 1 1 I
X PGOOD 8 600 800 200 L 50 50 1 1 O
X VLDO 9 600 300 200 L 50 50 1 1 O
X GND 10 0 -1200 200 U 50 50 1 1 B
X C1A 20 -600 800 200 R 50 50 1 1 I
X C1B 11 -600 0 200 R 50 50 1 1 I
X C2B 12 -600 -250 200 R 50 50 1 1 I
X GND 13 100 -1200 200 U 50 50 1 1 B
X SWB 14 -600 -600 200 R 50 50 1 1 I
X V_INB 15 -600 -700 200 R 50 50 1 1 I
X V_INA 16 -600 200 200 R 50 50 1 1 I
X SWA 17 -600 300 200 R 50 50 1 1 I
X GND 18 -100 -1200 200 U 50 50 1 1 B
X C2A 19 -600 550 200 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Step-Up_TRANSFO
#
DEF Step-Up_TRANSFO T 0 40 Y N 1 F N
F0 "T" 0 250 50 H V C CNN
F1 "Step-Up_TRANSFO" 0 -300 50 H V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
DRAW
A -100 -150 50 899 1 0 1 0 N -100 -100 -50 -150
A -100 -150 50 -1 -899 0 1 0 N -50 -150 -100 -199
A -100 -50 50 899 1 0 1 0 N -100 0 -50 -50
A -100 -50 50 -1 -899 0 1 0 N -50 -50 -100 -99
A -100 50 50 899 1 0 1 0 N -100 100 -50 50
A -100 50 50 -1 -899 0 1 0 N -50 50 -100 1
A -100 150 50 899 1 0 1 0 N -100 200 -50 150
A -100 150 50 -1 -899 0 1 0 N -50 150 -100 101
A 100 -50 50 899 -1799 0 1 0 N 100 0 51 -50
A 100 -50 50 1799 -899 0 1 0 N 51 -50 100 -99
A 100 50 50 899 -1799 0 1 0 N 100 100 51 50
A 100 50 50 1799 -899 0 1 0 N 51 50 100 1
A 100 150 50 899 -1799 0 1 0 N 100 200 51 150
A 100 150 50 1799 -899 0 1 0 N 51 150 100 101
A 101 -150 50 910 -1799 0 1 0 N 101 -100 52 -150
A 101 -150 50 -912 -1799 0 1 0 N 101 -199 52 -150
C -140 150 22 0 1 0 F
C 140 150 22 0 1 0 F
P 2 0 1 0 -25 200 -25 -200 N
P 2 0 1 0 25 -200 25 200 N
X AA 1 -400 200 300 R 60 60 1 1 P
X AB 2 -400 -200 300 R 60 60 1 1 P
X SA 3 400 -200 300 L 60 60 1 1 P
X SB 4 400 200 300 L 60 60 1 1 P
ENDDRAW
ENDDEF
#
# THERM_GEN
#
DEF THERM_GEN U 0 40 Y Y 1 F N
F0 "U" 20 -130 60 H V C CNN
F1 "THERM_GEN" 0 160 60 H V C CNN
F2 "" -110 90 60 H V C CNN
F3 "" -110 90 60 H V C CNN
DRAW
S -200 -20 -50 -50 0 1 0 F
S -160 80 -150 -30 0 1 0 F
S -100 80 -90 -30 0 1 0 F
S 50 -20 200 -50 0 1 0 F
S 90 80 100 -30 0 1 0 F
S 150 80 160 -30 0 1 0 F
S 200 80 -200 50 0 1 0 F
P 2 0 1 0 -180 -100 -180 -30 N
P 2 0 1 0 180 -100 180 -20 N
X ~ 1 -280 -100 100 R 50 50 1 1 B
X ~ 2 280 -100 100 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# _
#
DEF _ P 0 40 Y N 1 F N
F0 "P" 50 100 50 H V C CNN
F1 "_" 0 50 40 H V C CNN
F2 "" 250 -150 60 H V C CNN
F3 "" 250 -150 60 H V C CNN
DRAW
X P1 1 -300 50 250 R 60 60 1 1 P I
ENDDRAW
ENDDEF
#
#End Library
/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.bak
1,623 → 1,696
EESchema Schematic File Version 2
LIBS:74xgxx
LIBS:74xx
LIBS:ac-dc
LIBS:actel
LIBS:adc-dac
LIBS:Altera
LIBS:analog_devices
LIBS:analog_switches
LIBS:atmel
LIBS:audio
LIBS:brooktre
LIBS:cmos4000
LIBS:cmos_ieee
LIBS:conn
LIBS:contrib
LIBS:cypress
LIBS:dc-dc
LIBS:device
LIBS:digital-audio
LIBS:diode
LIBS:display
LIBS:dsp
LIBS:elec-unifil
LIBS:ESD_Protection
LIBS:ftdi
LIBS:gennum
LIBS:graphic
LIBS:hc11
LIBS:header
LIBS:intel
LIBS:interface
LIBS:ir
LIBS:Lattice
LIBS:linear
LIBS:logo
LIBS:maxim
LIBS:mechanical
LIBS:memory
LIBS:microcontrollers
LIBS:microchip
LIBS:microchip_dspic33dsc
LIBS:microchip_pic10mcu
LIBS:microchip_pic12mcu
LIBS:microchip_pic16mcu
LIBS:microchip_pic18mcu
LIBS:microchip_pic32mcu
LIBS:motor_drivers
LIBS:motorola
LIBS:msp430
LIBS:nordicsemi
LIBS:nxp_armmcu
LIBS:onsemi
LIBS:opto
LIBS:Oscillators
LIBS:philips
LIBS:power
LIBS:powerint
LIBS:Power_Management
LIBS:pspice
LIBS:references
LIBS:regul
LIBS:relays
LIBS:rfcom
LIBS:sensors
LIBS:silabs
LIBS:siliconi
LIBS:stm8
LIBS:stm32
LIBS:supertex
LIBS:switches
LIBS:texas
LIBS:transf
LIBS:transistors
LIBS:ttl_ieee
LIBS:valves
LIBS:video
LIBS:Xicor
LIBS:xilinx
LIBS:Zilog
LIBS:mlab_header
LIBS:Energy_harv-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title "NAME"
Date "%d. %m. %Y"
Rev "REV"
Comp "Mlab www.mlab.cz"
Comment1 "Short description \\nTwo lines are maximum"
Comment2 "nickname <email@example.com>"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L C C2
U 1 1 55A639B3
P 4650 2300
F 0 "C2" V 4590 2390 50 0000 L CNN
F 1 "1nF" V 4500 2240 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2150 30 0001 C CNN
F 3 "" H 4650 2300 60 0000 C CNN
1 4650 2300
0 1 1 0
$EndComp
$Comp
L C C3
U 1 1 55A63C47
P 4650 2550
F 0 "C3" V 4590 2640 50 0000 L CNN
F 1 "470pF" V 4790 2440 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2400 30 0001 C CNN
F 3 "" H 4650 2550 60 0000 C CNN
1 4650 2550
0 1 1 0
$EndComp
$Comp
L Step-Up_TRANSFO T1
U 1 1 55A64E73
P 3680 2350
F 0 "T1" H 3680 2600 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3670 2090 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 2350 60 0001 C CNN
F 3 "" H 3680 2350 60 0000 C CNN
1 3680 2350
1 0 0 -1
$EndComp
$Comp
L GND #PWR01
U 1 1 55A64EF4
P 4220 2570
F 0 "#PWR01" H 4220 2320 50 0001 C CNN
F 1 "GND" H 4220 2420 50 0000 C CNN
F 2 "" H 4220 2570 60 0000 C CNN
F 3 "" H 4220 2570 60 0000 C CNN
1 4220 2570
1 0 0 -1
$EndComp
$Comp
L Step-Up_TRANSFO T2
U 1 1 55A650B1
P 3680 3210
F 0 "T2" H 3680 3460 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3680 2940 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 3210 60 0001 C CNN
F 3 "" H 3680 3210 60 0000 C CNN
1 3680 3210
1 0 0 -1
$EndComp
$Comp
L C C4
U 1 1 55A6512C
P 4650 3100
F 0 "C4" V 4590 3190 50 0000 L CNN
F 1 "1nF" V 4510 3040 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2950 30 0001 C CNN
F 3 "" H 4650 3100 60 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L C C5
U 1 1 55A65213
P 4650 3350
F 0 "C5" V 4590 3440 50 0000 L CNN
F 1 "470pF" V 4800 3260 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 3200 30 0001 C CNN
F 3 "" H 4650 3350 60 0000 C CNN
1 4650 3350
0 1 1 0
$EndComp
Wire Wire Line
4800 2300 5000 2300
Wire Wire Line
4800 2550 5000 2550
Wire Wire Line
4360 2550 4500 2550
Wire Wire Line
4360 2150 4360 2550
Connection ~ 4360 2300
Wire Wire Line
4360 2300 4500 2300
Wire Wire Line
4080 2150 4360 2150
Wire Wire Line
3120 2550 3120 2800
Wire Wire Line
3120 2800 5000 2800
Wire Wire Line
4800 3100 5000 3100
Wire Wire Line
4800 3350 5000 3350
Wire Wire Line
4360 3100 4500 3100
Wire Wire Line
4360 3010 4360 3350
Wire Wire Line
4360 3350 4500 3350
Wire Wire Line
3120 2550 3280 2550
Connection ~ 4360 3100
$Comp
L GND #PWR02
U 1 1 55A655D8
P 4220 3430
F 0 "#PWR02" H 4220 3180 50 0001 C CNN
F 1 "GND" H 4220 3280 50 0000 C CNN
F 2 "" H 4220 3430 60 0000 C CNN
F 3 "" H 4220 3430 60 0000 C CNN
1 4220 3430
1 0 0 -1
$EndComp
Wire Wire Line
4080 3410 4220 3410
Wire Wire Line
4220 3410 4220 3430
Wire Wire Line
4080 2550 4220 2550
Wire Wire Line
4220 2550 4220 2570
Wire Wire Line
4080 3010 4360 3010
$Comp
L C C1
U 1 1 55A65C0C
P 2830 2470
F 0 "C1" H 2855 2570 50 0000 L CNN
F 1 "47uF" H 2855 2370 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeA_Reflow" H 2868 2320 30 0001 C CNN
F 3 "" H 2830 2470 60 0000 C CNN
1 2830 2470
1 0 0 -1
$EndComp
Wire Wire Line
2830 2150 2830 2320
Wire Wire Line
2320 2150 3280 2150
Wire Wire Line
2660 2900 5000 2900
Wire Wire Line
2830 2620 2830 3010
Connection ~ 2830 2900
Wire Wire Line
2830 3010 3280 3010
$Comp
L THERM_GEN U1
U 1 1 55A669FE
P 2560 2500
F 0 "U1" H 2580 2370 60 0000 C CNN
F 1 "THERM_GEN" H 2560 2660 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 2450 2590 60 0001 C CNN
F 3 "" H 2450 2590 60 0000 C CNN
1 2560 2500
0 -1 -1 0
$EndComp
Wire Wire Line
2660 2220 2660 2150
Connection ~ 2830 2150
Wire Wire Line
2660 2780 2660 2900
Wire Wire Line
5000 3700 3130 3700
Wire Wire Line
3130 3700 3130 3410
Wire Wire Line
3130 3410 3280 3410
Connection ~ 2660 2150
Wire Wire Line
2320 2150 2320 3800
Wire Wire Line
2320 3800 5000 3800
$Comp
L GND #PWR03
U 1 1 55A66EB3
P 5600 4370
F 0 "#PWR03" H 5600 4120 50 0001 C CNN
F 1 "GND" H 5600 4220 50 0000 C CNN
F 2 "" H 5600 4370 60 0000 C CNN
F 3 "" H 5600 4370 60 0000 C CNN
1 5600 4370
1 0 0 -1
$EndComp
Wire Wire Line
5600 4300 5600 4370
$Comp
L GND #PWR04
U 1 1 55A66F52
P 6400 4350
F 0 "#PWR04" H 6400 4100 50 0001 C CNN
F 1 "GND" H 6400 4200 50 0000 C CNN
F 2 "" H 6400 4350 60 0000 C CNN
F 3 "" H 6400 4350 60 0000 C CNN
1 6400 4350
1 0 0 -1
$EndComp
$Comp
L C C6
U 1 1 55A66F73
P 6400 4150
F 0 "C6" H 6425 4250 50 0000 L CNN
F 1 "1uF" H 6425 4050 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6438 4000 30 0001 C CNN
F 3 "" H 6400 4150 60 0000 C CNN
1 6400 4150
1 0 0 -1
$EndComp
$Comp
L CP C9
U 1 1 55A671D6
P 6800 3980
F 0 "C9" H 6825 4080 50 0000 L CNN
F 1 "1-10F" H 6825 3880 50 0000 L CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6838 3830 30 0001 C CNN
F 3 "" H 6800 3980 60 0000 C CNN
1 6800 3980
1 0 0 -1
$EndComp
$Comp
L CP C8
U 1 1 55A6722B
P 7350 2750
F 0 "C8" H 7375 2850 50 0000 L CNN
F 1 "470uF" H 7375 2650 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeD_Reflow" H 7388 2600 30 0001 C CNN
F 3 "" H 7350 2750 60 0000 C CNN
1 7350 2750
1 0 0 -1
$EndComp
Wire Wire Line
6800 3600 6800 3830
$Comp
L GND #PWR05
U 1 1 55A677B9
P 6800 4170
F 0 "#PWR05" H 6800 3920 50 0001 C CNN
F 1 "GND" H 6800 4020 50 0000 C CNN
F 2 "" H 6800 4170 60 0000 C CNN
F 3 "" H 6800 4170 60 0000 C CNN
1 6800 4170
1 0 0 -1
$EndComp
Wire Wire Line
6800 4130 6800 4170
$Comp
L LTC3109GN U2
U 1 1 55A67D56
P 5600 3100
F 0 "U2" H 5850 2050 60 0000 C CNN
F 1 "LTC3109GN" H 5600 4050 60 0000 C CNN
F 2 "SMD_Packages:SSOP-20" H 5600 3850 60 0001 C CNN
F 3 "" H 5600 3850 60 0000 C CNN
1 5600 3100
1 0 0 -1
$EndComp
Wire Wire Line
6400 4300 6400 4350
Wire Wire Line
5500 4300 5500 4350
Wire Wire Line
5500 4350 5700 4350
Connection ~ 5600 4350
Wire Wire Line
5700 4350 5700 4300
Wire Wire Line
6400 3800 6200 3800
Wire Wire Line
6400 4000 6400 3800
Wire Wire Line
6800 3600 6200 3600
$Comp
L HEADER_1x03 J1
U 1 1 55BA9255
P 3750 4250
F 0 "J1" H 3750 4050 60 0000 C CNN
F 1 "VS1" H 3900 4250 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x03" H 3750 4350 60 0001 C CNN
F 3 "" H 3750 4350 60 0000 C CNN
1 3750 4250
-1 0 0 1
$EndComp
$Comp
L HEADER_1x03 J2
U 1 1 55BA9377
P 4400 4250
F 0 "J2" H 4400 4050 60 0000 C CNN
F 1 "VS2" H 4400 4500 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x03" H 4400 4350 60 0001 C CNN
F 3 "" H 4400 4350 60 0000 C CNN
1 4400 4250
-1 0 0 1
$EndComp
Wire Wire Line
5000 3900 4200 3900
Wire Wire Line
4200 3900 4200 4250
Wire Wire Line
4200 4250 3950 4250
Wire Wire Line
5000 4000 4850 4000
Wire Wire Line
4850 4000 4850 4250
Wire Wire Line
4850 4250 4600 4250
$Comp
L GND #PWR06
U 1 1 55BA9586
P 4050 4400
F 0 "#PWR06" H 4050 4150 50 0001 C CNN
F 1 "GND" H 4050 4250 50 0000 C CNN
F 2 "" H 4050 4400 60 0000 C CNN
F 3 "" H 4050 4400 60 0000 C CNN
1 4050 4400
1 0 0 -1
$EndComp
$Comp
L GND #PWR07
U 1 1 55BA959C
P 4700 4400
F 0 "#PWR07" H 4700 4150 50 0001 C CNN
F 1 "GND" H 4700 4250 50 0000 C CNN
F 2 "" H 4700 4400 60 0000 C CNN
F 3 "" H 4700 4400 60 0000 C CNN
1 4700 4400
1 0 0 -1
$EndComp
Wire Wire Line
4700 4400 4700 4350
Wire Wire Line
4700 4350 4600 4350
Wire Wire Line
4050 4400 4050 4350
Wire Wire Line
4050 4350 3950 4350
Text Label 6250 3800 0 60 ~ 0
VAUX
Wire Wire Line
4600 4150 4800 4150
Wire Wire Line
3950 4150 4100 4150
Text Label 3950 4150 0 60 ~ 0
VAUX
Text Label 4600 4150 0 60 ~ 0
VAUX
$Comp
L C C7
U 1 1 55A6727D
P 6950 3000
F 0 "C7" H 6975 3100 50 0000 L CNN
F 1 "2,2uF" H 6975 2900 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6988 2850 30 0001 C CNN
F 3 "" H 6950 3000 60 0000 C CNN
1 6950 3000
-1 0 0 -1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J4
U 1 1 55BA9CD4
P 6550 2300
F 0 "J4" H 6550 2200 60 0000 C CNN
F 1 "Power Good" H 6550 2100 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6550 2300 60 0001 C CNN
F 3 "" H 6550 2300 60 0000 C CNN
1 6550 2300
1 0 0 1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J5
U 1 1 55BA9E0C
P 8300 2350
F 0 "J5" H 8300 2150 60 0000 C CNN
F 1 "Vout2" H 8550 2350 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2450 60 0001 C CNN
F 3 "" H 8300 2450 60 0000 C CNN
1 8300 2350
1 0 0 -1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J6
U 1 1 55BA9EE7
P 8300 2800
F 0 "J6" H 8300 2600 60 0000 C CNN
F 1 "Vout" H 8550 2750 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2900 60 0001 C CNN
F 3 "" H 8300 2900 60 0000 C CNN
1 8300 2800
1 0 0 -1
$EndComp
$Comp
L GND #PWR08
U 1 1 55BA9FFB
P 7950 3000
F 0 "#PWR08" H 7950 2750 50 0001 C CNN
F 1 "GND" H 7950 2850 50 0000 C CNN
F 2 "" H 7950 3000 60 0000 C CNN
F 3 "" H 7950 3000 60 0000 C CNN
1 7950 3000
1 0 0 -1
$EndComp
$Comp
L GND #PWR09
U 1 1 55BAA013
P 6950 3200
F 0 "#PWR09" H 6950 2950 50 0001 C CNN
F 1 "GND" H 6950 3050 50 0000 C CNN
F 2 "" H 6950 3200 60 0000 C CNN
F 3 "" H 6950 3200 60 0000 C CNN
1 6950 3200
1 0 0 -1
$EndComp
Wire Wire Line
6200 2800 6950 2800
Wire Wire Line
6950 2800 6950 2850
Wire Wire Line
6950 3150 6950 3200
$Comp
L GND #PWR010
U 1 1 55BAA491
P 7350 2950
F 0 "#PWR010" H 7350 2700 50 0001 C CNN
F 1 "GND" H 7350 2800 50 0000 C CNN
F 2 "" H 7350 2950 60 0000 C CNN
F 3 "" H 7350 2950 60 0000 C CNN
1 7350 2950
1 0 0 -1
$EndComp
Wire Wire Line
6200 2550 7700 2550
Wire Wire Line
7350 2550 7350 2600
Wire Wire Line
7350 2900 7350 2950
Wire Wire Line
7700 2550 7700 2800
Wire Wire Line
7700 2800 8050 2800
Wire Wire Line
8050 2250 7950 2250
Wire Wire Line
7950 2250 7950 3000
Wire Wire Line
8050 2450 7950 2450
Connection ~ 7950 2450
Wire Wire Line
8050 2900 7950 2900
Connection ~ 7950 2900
Wire Wire Line
8050 2700 7950 2700
Connection ~ 7950 2700
Wire Wire Line
6200 2400 7700 2400
Wire Wire Line
7700 2400 7700 2350
Wire Wire Line
7700 2350 8050 2350
Connection ~ 7350 2550
$Comp
L HEADER_2x01_PARALLEL J3
U 1 1 55BAAB6B
P 6500 3100
F 0 "J3" H 6500 3000 60 0000 C CNN
F 1 "VOUT2_EN" H 6500 2900 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6500 3100 60 0001 C CNN
F 3 "" H 6500 3100 60 0000 C CNN
1 6500 3100
1 0 0 1
$EndComp
Wire Wire Line
6250 3100 6200 3100
Wire Wire Line
6300 2300 6200 2300
$Comp
L HOLE M1
U 1 1 55CA76BA
P 1050 6150
F 0 "M1" H 1050 6250 60 0000 C CNN
F 1 "HOLE" H 1050 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6150 60 0001 C CNN
F 3 "" H 1050 6150 60 0000 C CNN
1 1050 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M2
U 1 1 55CA779F
P 1050 6500
F 0 "M2" H 1050 6600 60 0000 C CNN
F 1 "HOLE" H 1050 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6500 60 0001 C CNN
F 3 "" H 1050 6500 60 0000 C CNN
1 1050 6500
1 0 0 -1
$EndComp
$Comp
L HOLE M3
U 1 1 55CA77E6
P 1400 6150
F 0 "M3" H 1400 6250 60 0000 C CNN
F 1 "HOLE" H 1400 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6150 60 0001 C CNN
F 3 "" H 1400 6150 60 0000 C CNN
1 1400 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M4
U 1 1 55CA77EC
P 1400 6500
F 0 "M4" H 1400 6600 60 0000 C CNN
F 1 "HOLE" H 1400 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6500 60 0001 C CNN
F 3 "" H 1400 6500 60 0000 C CNN
1 1400 6500
1 0 0 -1
$EndComp
NoConn ~ 1100 6150
NoConn ~ 1100 6500
NoConn ~ 1450 6500
NoConn ~ 1450 6150
NoConn ~ 3500 1250
$EndSCHEMATC
EESchema Schematic File Version 2
LIBS:74xgxx
LIBS:74xx
LIBS:ac-dc
LIBS:actel
LIBS:adc-dac
LIBS:Altera
LIBS:analog_devices
LIBS:analog_switches
LIBS:atmel
LIBS:audio
LIBS:brooktre
LIBS:cmos4000
LIBS:cmos_ieee
LIBS:conn
LIBS:contrib
LIBS:cypress
LIBS:dc-dc
LIBS:device
LIBS:digital-audio
LIBS:display
LIBS:dsp
LIBS:elec-unifil
LIBS:ESD_Protection
LIBS:ftdi
LIBS:gennum
LIBS:graphic
LIBS:hc11
LIBS:intel
LIBS:interface
LIBS:ir
LIBS:Lattice
LIBS:linear
LIBS:logo
LIBS:maxim
LIBS:memory
LIBS:microcontrollers
LIBS:microchip
LIBS:microchip_dspic33dsc
LIBS:microchip_pic10mcu
LIBS:microchip_pic12mcu
LIBS:microchip_pic16mcu
LIBS:microchip_pic18mcu
LIBS:microchip_pic32mcu
LIBS:motor_drivers
LIBS:motorola
LIBS:msp430
LIBS:nordicsemi
LIBS:nxp_armmcu
LIBS:onsemi
LIBS:opto
LIBS:Oscillators
LIBS:philips
LIBS:power
LIBS:powerint
LIBS:Power_Management
LIBS:pspice
LIBS:references
LIBS:regul
LIBS:relays
LIBS:rfcom
LIBS:sensors
LIBS:silabs
LIBS:siliconi
LIBS:stm8
LIBS:stm32
LIBS:supertex
LIBS:switches
LIBS:texas
LIBS:transf
LIBS:transistors
LIBS:ttl_ieee
LIBS:valves
LIBS:video
LIBS:Xicor
LIBS:xilinx
LIBS:Zilog
LIBS:ADC-DAC
LIBS:asp-134604-01_(fmc)
LIBS:clock
LIBS:header
LIBS:Jumpers
LIBS:konektory
LIBS:mechanical
LIBS:MLAB_BATERY
LIBS:MLAB_CONNECTORS
LIBS:MLAB_D
LIBS:MLAB_IO
LIBS:MLAB_Jumpers
LIBS:MLAB_T
LIBS:OpAmp
LIBS:sata7-67491-1030
LIBS:Energy_harv-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title "NAME"
Date "%d. %m. %Y"
Rev "REV"
Comp "Mlab www.mlab.cz"
Comment1 "Short description \\nTwo lines are maximum"
Comment2 "nickname <email@example.com>"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L C C2
U 1 1 55A639B3
P 4650 2300
F 0 "C2" V 4590 2390 50 0000 L CNN
F 1 "1nF" V 4500 2240 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2150 30 0001 C CNN
F 3 "" H 4650 2300 60 0000 C CNN
1 4650 2300
0 1 1 0
$EndComp
$Comp
L C C3
U 1 1 55A63C47
P 4650 2550
F 0 "C3" V 4590 2640 50 0000 L CNN
F 1 "470pF" V 4790 2440 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2400 30 0001 C CNN
F 3 "" H 4650 2550 60 0000 C CNN
1 4650 2550
0 1 1 0
$EndComp
$Comp
L Step-Up_TRANSFO T1
U 1 1 55A64E73
P 3680 2350
F 0 "T1" H 3680 2600 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3670 2090 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 2350 60 0001 C CNN
F 3 "" H 3680 2350 60 0000 C CNN
1 3680 2350
1 0 0 -1
$EndComp
$Comp
L GND #PWR01
U 1 1 55A64EF4
P 4220 2570
F 0 "#PWR01" H 4220 2320 50 0001 C CNN
F 1 "GND" H 4220 2420 50 0000 C CNN
F 2 "" H 4220 2570 60 0000 C CNN
F 3 "" H 4220 2570 60 0000 C CNN
1 4220 2570
1 0 0 -1
$EndComp
$Comp
L Step-Up_TRANSFO T2
U 1 1 55A650B1
P 3680 3210
F 0 "T2" H 3680 3460 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3680 2940 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 3210 60 0001 C CNN
F 3 "" H 3680 3210 60 0000 C CNN
1 3680 3210
1 0 0 -1
$EndComp
$Comp
L C C4
U 1 1 55A6512C
P 4650 3100
F 0 "C4" V 4590 3190 50 0000 L CNN
F 1 "1nF" V 4510 3040 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2950 30 0001 C CNN
F 3 "" H 4650 3100 60 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L C C5
U 1 1 55A65213
P 4650 3350
F 0 "C5" V 4590 3440 50 0000 L CNN
F 1 "470pF" V 4800 3260 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 3200 30 0001 C CNN
F 3 "" H 4650 3350 60 0000 C CNN
1 4650 3350
0 1 1 0
$EndComp
$Comp
L GND #PWR02
U 1 1 55A655D8
P 4220 3430
F 0 "#PWR02" H 4220 3180 50 0001 C CNN
F 1 "GND" H 4220 3280 50 0000 C CNN
F 2 "" H 4220 3430 60 0000 C CNN
F 3 "" H 4220 3430 60 0000 C CNN
1 4220 3430
1 0 0 -1
$EndComp
$Comp
L C C1
U 1 1 55A65C0C
P 2830 2470
F 0 "C1" H 2855 2570 50 0000 L CNN
F 1 "47uF" H 2855 2370 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeA_Reflow" H 2868 2320 30 0001 C CNN
F 3 "" H 2830 2470 60 0000 C CNN
1 2830 2470
1 0 0 -1
$EndComp
$Comp
L THERM_GEN U1
U 1 1 55A669FE
P 2560 2500
F 0 "U1" H 2580 2370 60 0000 C CNN
F 1 "THERM_GEN" H 2560 2660 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 2450 2590 60 0001 C CNN
F 3 "" H 2450 2590 60 0000 C CNN
1 2560 2500
0 -1 -1 0
$EndComp
$Comp
L GND #PWR03
U 1 1 55A66EB3
P 5600 4370
F 0 "#PWR03" H 5600 4120 50 0001 C CNN
F 1 "GND" H 5600 4220 50 0000 C CNN
F 2 "" H 5600 4370 60 0000 C CNN
F 3 "" H 5600 4370 60 0000 C CNN
1 5600 4370
1 0 0 -1
$EndComp
$Comp
L GND #PWR04
U 1 1 55A66F52
P 6400 4350
F 0 "#PWR04" H 6400 4100 50 0001 C CNN
F 1 "GND" H 6400 4200 50 0000 C CNN
F 2 "" H 6400 4350 60 0000 C CNN
F 3 "" H 6400 4350 60 0000 C CNN
1 6400 4350
1 0 0 -1
$EndComp
$Comp
L C C6
U 1 1 55A66F73
P 6400 4150
F 0 "C6" H 6425 4250 50 0000 L CNN
F 1 "1uF" H 6425 4050 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6438 4000 30 0001 C CNN
F 3 "" H 6400 4150 60 0000 C CNN
1 6400 4150
1 0 0 -1
$EndComp
$Comp
L CP C9
U 1 1 55A671D6
P 6800 3980
F 0 "C9" H 6825 4080 50 0000 L CNN
F 1 "1-10F" H 6820 3900 50 0000 L CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6838 3830 30 0001 C CNN
F 3 "" H 6800 3980 60 0000 C CNN
1 6800 3980
1 0 0 -1
$EndComp
$Comp
L CP C8
U 1 1 55A6722B
P 7350 2750
F 0 "C8" H 7375 2850 50 0000 L CNN
F 1 "470uF" H 7375 2650 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeD_Reflow" H 7388 2600 30 0001 C CNN
F 3 "" H 7350 2750 60 0000 C CNN
1 7350 2750
1 0 0 -1
$EndComp
$Comp
L GND #PWR05
U 1 1 55A677B9
P 6800 4170
F 0 "#PWR05" H 6800 3920 50 0001 C CNN
F 1 "GND" H 6800 4020 50 0000 C CNN
F 2 "" H 6800 4170 60 0000 C CNN
F 3 "" H 6800 4170 60 0000 C CNN
1 6800 4170
1 0 0 -1
$EndComp
$Comp
L LTC3109GN U2
U 1 1 55A67D56
P 5600 3100
F 0 "U2" H 5850 2050 60 0000 C CNN
F 1 "LTC3109GN" H 5600 4050 60 0000 C CNN
F 2 "SMD_Packages:SSOP-20" H 5600 3850 60 0001 C CNN
F 3 "" H 5600 3850 60 0000 C CNN
1 5600 3100
1 0 0 -1
$EndComp
$Comp
L HEADER_1x03 J2
U 1 1 55BA9377
P 4400 4250
F 0 "J2" H 4400 4050 60 0000 C CNN
F 1 "VS2" H 4400 4500 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x03" H 4400 4350 60 0001 C CNN
F 3 "" H 4400 4350 60 0000 C CNN
1 4400 4250
-1 0 0 1
$EndComp
$Comp
L GND #PWR06
U 1 1 55BA9586
P 4050 4400
F 0 "#PWR06" H 4050 4150 50 0001 C CNN
F 1 "GND" H 4050 4250 50 0000 C CNN
F 2 "" H 4050 4400 60 0000 C CNN
F 3 "" H 4050 4400 60 0000 C CNN
1 4050 4400
1 0 0 -1
$EndComp
$Comp
L GND #PWR07
U 1 1 55BA959C
P 4700 4400
F 0 "#PWR07" H 4700 4150 50 0001 C CNN
F 1 "GND" H 4700 4250 50 0000 C CNN
F 2 "" H 4700 4400 60 0000 C CNN
F 3 "" H 4700 4400 60 0000 C CNN
1 4700 4400
1 0 0 -1
$EndComp
Text Label 6250 3800 0 60 ~ 0
VAUX
Text Label 3950 4150 0 60 ~ 0
VAUX
Text Label 4600 4150 0 60 ~ 0
VAUX
$Comp
L C C7
U 1 1 55A6727D
P 6950 3000
F 0 "C7" H 6975 3100 50 0000 L CNN
F 1 "2,2uF" H 6975 2900 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6988 2850 30 0001 C CNN
F 3 "" H 6950 3000 60 0000 C CNN
1 6950 3000
-1 0 0 -1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J4
U 1 1 55BA9CD4
P 6550 2300
F 0 "J4" H 6550 2200 60 0000 C CNN
F 1 "Power Good" H 6550 2100 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6550 2300 60 0001 C CNN
F 3 "" H 6550 2300 60 0000 C CNN
1 6550 2300
1 0 0 1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J5
U 1 1 55BA9E0C
P 8300 2350
F 0 "J5" H 8300 2150 60 0000 C CNN
F 1 "Vout2" H 8550 2350 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2450 60 0001 C CNN
F 3 "" H 8300 2450 60 0000 C CNN
1 8300 2350
1 0 0 -1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J6
U 1 1 55BA9EE7
P 8300 2800
F 0 "J6" H 8300 2600 60 0000 C CNN
F 1 "Vout" H 8550 2750 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2900 60 0001 C CNN
F 3 "" H 8300 2900 60 0000 C CNN
1 8300 2800
1 0 0 -1
$EndComp
$Comp
L GND #PWR08
U 1 1 55BA9FFB
P 7950 3000
F 0 "#PWR08" H 7950 2750 50 0001 C CNN
F 1 "GND" H 7950 2850 50 0000 C CNN
F 2 "" H 7950 3000 60 0000 C CNN
F 3 "" H 7950 3000 60 0000 C CNN
1 7950 3000
1 0 0 -1
$EndComp
$Comp
L GND #PWR09
U 1 1 55BAA013
P 6950 3200
F 0 "#PWR09" H 6950 2950 50 0001 C CNN
F 1 "GND" H 6950 3050 50 0000 C CNN
F 2 "" H 6950 3200 60 0000 C CNN
F 3 "" H 6950 3200 60 0000 C CNN
1 6950 3200
1 0 0 -1
$EndComp
$Comp
L GND #PWR010
U 1 1 55BAA491
P 7350 2950
F 0 "#PWR010" H 7350 2700 50 0001 C CNN
F 1 "GND" H 7350 2800 50 0000 C CNN
F 2 "" H 7350 2950 60 0000 C CNN
F 3 "" H 7350 2950 60 0000 C CNN
1 7350 2950
1 0 0 -1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J3
U 1 1 55BAAB6B
P 6500 3100
F 0 "J3" H 6500 3000 60 0000 C CNN
F 1 "VOUT2_EN" H 6500 2900 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6500 3100 60 0001 C CNN
F 3 "" H 6500 3100 60 0000 C CNN
1 6500 3100
1 0 0 1
$EndComp
$Comp
L HOLE M1
U 1 1 55CA76BA
P 1050 6150
F 0 "M1" H 1050 6250 60 0000 C CNN
F 1 "HOLE" H 1050 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6150 60 0001 C CNN
F 3 "" H 1050 6150 60 0000 C CNN
1 1050 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M2
U 1 1 55CA779F
P 1050 6500
F 0 "M2" H 1050 6600 60 0000 C CNN
F 1 "HOLE" H 1050 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6500 60 0001 C CNN
F 3 "" H 1050 6500 60 0000 C CNN
1 1050 6500
1 0 0 -1
$EndComp
$Comp
L HOLE M3
U 1 1 55CA77E6
P 1400 6150
F 0 "M3" H 1400 6250 60 0000 C CNN
F 1 "HOLE" H 1400 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6150 60 0001 C CNN
F 3 "" H 1400 6150 60 0000 C CNN
1 1400 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M4
U 1 1 55CA77EC
P 1400 6500
F 0 "M4" H 1400 6600 60 0000 C CNN
F 1 "HOLE" H 1400 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6500 60 0001 C CNN
F 3 "" H 1400 6500 60 0000 C CNN
1 1400 6500
1 0 0 -1
$EndComp
NoConn ~ 1100 6150
NoConn ~ 1100 6500
NoConn ~ 1450 6500
NoConn ~ 1450 6150
NoConn ~ 3500 1250
$Comp
L HEADER_1x03 J1
U 1 1 55BA9255
P 3750 4250
F 0 "J1" H 3750 4050 60 0000 C CNN
F 1 "VS1" H 3900 4250 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x03" H 3750 4350 60 0001 C CNN
F 3 "" H 3750 4350 60 0000 C CNN
1 3750 4250
-1 0 0 1
$EndComp
$Comp
L _ P?
U 1 1 55DAEB67
P 1890 2100
F 0 "P?" H 1940 2200 50 0000 C CNN
F 1 "_" H 1890 2150 40 0000 C CNN
F 2 "" H 2140 1950 60 0000 C CNN
F 3 "" H 2140 1950 60 0000 C CNN
1 1890 2100
-1 0 0 1
$EndComp
$Comp
L _ P?
U 1 1 55DB0223
P 1900 2850
F 0 "P?" H 1950 2950 50 0000 C CNN
F 1 "_" H 1900 2900 40 0000 C CNN
F 2 "" H 2150 2700 60 0000 C CNN
F 3 "" H 2150 2700 60 0000 C CNN
1 1900 2850
-1 0 0 1
$EndComp
Text Label 2190 2150 0 60 ~ 0
T+
Text Label 2200 2900 0 60 ~ 0
T-
$Comp
L _ P?
U 1 1 55DB1192
P 7290 3650
F 0 "P?" H 7340 3750 50 0000 C CNN
F 1 "_" H 7290 3700 40 0000 C CNN
F 2 "" H 7540 3500 60 0000 C CNN
F 3 "" H 7540 3500 60 0000 C CNN
1 7290 3650
1 0 0 -1
$EndComp
$Comp
L _ P?
U 1 1 55DB1560
P 7270 4220
F 0 "P?" H 7320 4320 50 0000 C CNN
F 1 "_" H 7270 4270 40 0000 C CNN
F 2 "" H 7520 4070 60 0000 C CNN
F 3 "" H 7520 4070 60 0000 C CNN
1 7270 4220
1 0 0 -1
$EndComp
Wire Wire Line
4800 2300 5000 2300
Wire Wire Line
4800 2550 5000 2550
Wire Wire Line
4360 2550 4500 2550
Wire Wire Line
4360 2150 4360 2550
Connection ~ 4360 2300
Wire Wire Line
4360 2300 4500 2300
Wire Wire Line
4080 2150 4360 2150
Wire Wire Line
3120 2550 3120 2800
Wire Wire Line
3120 2800 5000 2800
Wire Wire Line
4800 3100 5000 3100
Wire Wire Line
4800 3350 5000 3350
Wire Wire Line
4360 3100 4500 3100
Wire Wire Line
4360 3010 4360 3350
Wire Wire Line
4360 3350 4500 3350
Wire Wire Line
3120 2550 3280 2550
Connection ~ 4360 3100
Wire Wire Line
4080 3410 4220 3410
Wire Wire Line
4220 3410 4220 3430
Wire Wire Line
4080 2550 4220 2550
Wire Wire Line
4220 2550 4220 2570
Wire Wire Line
4080 3010 4360 3010
Wire Wire Line
2830 2150 2830 2320
Wire Wire Line
2200 2900 5000 2900
Wire Wire Line
2830 2620 2830 3010
Connection ~ 2830 2900
Wire Wire Line
2830 3010 3280 3010
Wire Wire Line
2660 2220 2660 2150
Connection ~ 2830 2150
Wire Wire Line
2660 2780 2660 2900
Wire Wire Line
5000 3700 3130 3700
Wire Wire Line
3130 3700 3130 3410
Wire Wire Line
3130 3410 3280 3410
Connection ~ 2660 2150
Wire Wire Line
2320 2150 2320 3800
Wire Wire Line
2320 3800 5000 3800
Wire Wire Line
5600 4300 5600 4370
Wire Wire Line
6800 3600 6800 3830
Wire Wire Line
6800 4130 6800 4170
Wire Wire Line
6400 4300 6400 4350
Wire Wire Line
5500 4300 5500 4350
Wire Wire Line
5500 4350 5700 4350
Connection ~ 5600 4350
Wire Wire Line
5700 4350 5700 4300
Wire Wire Line
6400 3800 6200 3800
Wire Wire Line
6400 4000 6400 3800
Wire Wire Line
6200 3600 6990 3600
Wire Wire Line
5000 3900 4200 3900
Wire Wire Line
4200 3900 4200 4250
Wire Wire Line
4200 4250 3950 4250
Wire Wire Line
5000 4000 4850 4000
Wire Wire Line
4850 4000 4850 4250
Wire Wire Line
4850 4250 4600 4250
Wire Wire Line
4700 4400 4700 4350
Wire Wire Line
4700 4350 4600 4350
Wire Wire Line
4050 4400 4050 4350
Wire Wire Line
4050 4350 3950 4350
Wire Wire Line
4600 4150 4800 4150
Wire Wire Line
3950 4150 4100 4150
Wire Wire Line
6200 2800 6950 2800
Wire Wire Line
6950 2800 6950 2850
Wire Wire Line
6950 3150 6950 3200
Wire Wire Line
6200 2550 7700 2550
Wire Wire Line
7350 2550 7350 2600
Wire Wire Line
7350 2900 7350 2950
Wire Wire Line
7700 2550 7700 2800
Wire Wire Line
7700 2800 8050 2800
Wire Wire Line
8050 2250 7950 2250
Wire Wire Line
7950 2250 7950 3000
Wire Wire Line
8050 2450 7950 2450
Connection ~ 7950 2450
Wire Wire Line
8050 2900 7950 2900
Connection ~ 7950 2900
Wire Wire Line
8050 2700 7950 2700
Connection ~ 7950 2700
Wire Wire Line
6200 2400 7700 2400
Wire Wire Line
7700 2400 7700 2350
Wire Wire Line
7700 2350 8050 2350
Connection ~ 7350 2550
Wire Wire Line
6250 3100 6200 3100
Wire Wire Line
6300 2300 6200 2300
Wire Wire Line
2190 2150 3280 2150
Connection ~ 2320 2150
Connection ~ 2660 2900
Wire Wire Line
6800 4170 6970 4170
Connection ~ 6800 4170
Connection ~ 6800 3600
Text Label 6970 3600 0 60 ~ 0
C+
Text Label 6960 4170 0 60 ~ 0
C-
Text Label 7340 2400 0 60 ~ 0
V_OUT2
Text Label 7380 2550 0 60 ~ 0
V_OUT
$EndSCHEMATC
/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.cmp
1,13 → 1,6
Cmp-Mod V01 Created by Cvpcb (2015-06-04 BZR 5708)-product date = 16.7.2015 16:18:00
Cmp-Mod V01 Created by Cvpcb (2015-05-13 BZR 5653)-product date = 24. 8. 2015 11:17:33
 
BeginCmp
TimeStamp = /55A75F10;
Reference = 10M1;
ValeurCmp = R;
IdModule = Mlab_R:SMD-0402;
EndCmp
 
BeginCmp
TimeStamp = /55A65C0C;
Reference = C1;
ValeurCmp = 47uF;
71,13 → 64,104
EndCmp
 
BeginCmp
TimeStamp = /55A7BDD9;
TimeStamp = /55BA9255;
Reference = J1;
ValeurCmp = HEADER_1x02;
ValeurCmp = VS1;
IdModule = Mlab_Pin_Headers:Straight_1x02;
EndCmp
 
BeginCmp
TimeStamp = /55BA9377;
Reference = J2;
ValeurCmp = VS2;
IdModule = Mlab_Pin_Headers:Straight_1x03;
EndCmp
 
BeginCmp
TimeStamp = /55BAAB6B;
Reference = J3;
ValeurCmp = VOUT2_EN;
IdModule = Mlab_Pin_Headers:Straight_1x02;
EndCmp
 
BeginCmp
TimeStamp = /55BA9CD4;
Reference = J4;
ValeurCmp = Power Good;
IdModule = Mlab_Pin_Headers:Straight_1x02;
EndCmp
 
BeginCmp
TimeStamp = /55BA9E0C;
Reference = J5;
ValeurCmp = Vout2;
IdModule = Mlab_Pin_Headers:Straight_2x03;
EndCmp
 
BeginCmp
TimeStamp = /55BA9EE7;
Reference = J6;
ValeurCmp = Vout;
IdModule = Mlab_Pin_Headers:Straight_2x03;
EndCmp
 
BeginCmp
TimeStamp = /55CA76BA;
Reference = M1;
ValeurCmp = HOLE;
IdModule = Mlab_Mechanical:MountingHole_3mm;
EndCmp
 
BeginCmp
TimeStamp = /55CA779F;
Reference = M2;
ValeurCmp = HOLE;
IdModule = Mlab_Mechanical:MountingHole_3mm;
EndCmp
 
BeginCmp
TimeStamp = /55CA77E6;
Reference = M3;
ValeurCmp = HOLE;
IdModule = Mlab_Mechanical:MountingHole_3mm;
EndCmp
 
BeginCmp
TimeStamp = /55CA77EC;
Reference = M4;
ValeurCmp = HOLE;
IdModule = Mlab_Mechanical:MountingHole_3mm;
EndCmp
 
BeginCmp
TimeStamp = /55DAEB67;
Reference = P1;
ValeurCmp = _;
IdModule = Mlab_Con:WAGO256;
EndCmp
 
BeginCmp
TimeStamp = /55DB0223;
Reference = P2;
ValeurCmp = _;
IdModule = Mlab_Con:WAGO256;
EndCmp
 
BeginCmp
TimeStamp = /55DB1560;
Reference = P3;
ValeurCmp = _;
IdModule = Mlab_Con:WAGO256;
EndCmp
 
BeginCmp
TimeStamp = /55DB1192;
Reference = P4;
ValeurCmp = _;
IdModule = Mlab_Con:WAGO256;
EndCmp
 
BeginCmp
TimeStamp = /55A64E73;
Reference = T1;
ValeurCmp = Step-Up_TRANSFO;
/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.kicad_pcb
1,1041 → 1,1311
(kicad_pcb (version 4) (host pcbnew 0.201508170901+6097~28~ubuntu14.04.1-product)
 
(general
(links 51)
(no_connects 24)
(area 0 0 0 0)
(thickness 1.6)
(drawings 0)
(tracks 154)
(zones 0)
(modules 24)
(nets 26)
)
 
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
 
(setup
(last_trace_width 0.25)
(trace_clearance 0.2)
(zone_clearance 0.508)
(zone_45_only no)
(trace_min 0.2)
(segment_width 0.2)
(edge_width 0.1)
(via_size 0.6)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 1.5 1.5)
(pad_drill 0.6)
(pad_to_mask_clearance 0)
(aux_axis_origin 0 0)
(visible_elements 7FFEF76F)
(pcbplotparams
(layerselection 0x00030_80000001)
(usegerberextensions false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15)
(hpglpenoverlay 2)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 1)
(scaleselection 1)
(outputdirectory ""))
)
 
(net 0 "")
(net 1 "Net-(10M1-Pad1)")
(net 2 GND)
(net 3 "Net-(C1-Pad2)")
(net 4 "Net-(C1-Pad1)")
(net 5 "Net-(C2-Pad1)")
(net 6 "Net-(C2-Pad2)")
(net 7 "Net-(C3-Pad1)")
(net 8 "Net-(C4-Pad1)")
(net 9 "Net-(C4-Pad2)")
(net 10 "Net-(C5-Pad1)")
(net 11 "Net-(C7-Pad1)")
(net 12 "Net-(C8-Pad1)")
(net 13 "Net-(C9-Pad1)")
(net 14 "Net-(T1-Pad2)")
(net 15 "Net-(T2-Pad2)")
(net 16 /VAUX)
(net 17 "Net-(J1-Pad2)")
(net 18 "Net-(J2-Pad2)")
(net 19 "Net-(J3-Pad1)")
(net 20 "Net-(J4-Pad1)")
(net 21 "Net-(J5-Pad3)")
(net 22 "Net-(M1-Pad1)")
(net 23 "Net-(M2-Pad1)")
(net 24 "Net-(M3-Pad1)")
(net 25 "Net-(M4-Pad1)")
 
(net_class Default "Toto je výchozí třída sítě."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.6)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /VAUX)
(add_net GND)
(add_net "Net-(10M1-Pad1)")
(add_net "Net-(C1-Pad1)")
(add_net "Net-(C1-Pad2)")
(add_net "Net-(C2-Pad1)")
(add_net "Net-(C2-Pad2)")
(add_net "Net-(C3-Pad1)")
(add_net "Net-(C4-Pad1)")
(add_net "Net-(C4-Pad2)")
(add_net "Net-(C5-Pad1)")
(add_net "Net-(C7-Pad1)")
(add_net "Net-(C8-Pad1)")
(add_net "Net-(C9-Pad1)")
(add_net "Net-(J1-Pad2)")
(add_net "Net-(J2-Pad2)")
(add_net "Net-(J3-Pad1)")
(add_net "Net-(J4-Pad1)")
(add_net "Net-(J5-Pad3)")
(add_net "Net-(M1-Pad1)")
(add_net "Net-(M2-Pad1)")
(add_net "Net-(M3-Pad1)")
(add_net "Net-(M4-Pad1)")
(add_net "Net-(T1-Pad2)")
(add_net "Net-(T2-Pad2)")
)
 
(module Mlab_R:SMD-0402 (layer F.Cu) (tedit 55A8F259) (tstamp 55A8A316)
(at 23.56 -20.68 180)
(path /55A75F10)
(attr smd)
(fp_text reference 10M (at 1.51934 -0.02486 180) (layer F.SilkS)
(effects (font (size 0.35052 0.3048) (thickness 0.07112)))
)
(fp_text value R (at -0.127 0.889 180) (layer F.SilkS) hide
(effects (font (size 0.35052 0.3048) (thickness 0.07112)))
)
(fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.15))
(fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.15))
(fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.15))
(fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.15))
(fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.15))
(fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers F.Cu F.Paste F.Mask)
(net 1 "Net-(10M1-Pad1)"))
(pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model MLAB_3D/Resistors/r_0402.wrl
(at (xyz 0 0 0.002))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeA_Reflow (layer F.Cu) (tedit 55A8F23C) (tstamp 55A8A324)
(at 17.72 -34.89 90)
(descr "Tantal Cap. , Size A, EIA-3216, Reflow,")
(tags "Tantal Cap. , Size A, EIA-3216, reflow,")
(path /55A65C0C)
(attr smd)
(fp_text reference C1 (at -1.66 2.61 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_text value 47uF (at 0 3.048 90) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_text user + (at -3.08 -1.69 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_line (start 1.6002 -1.26238) (end 2.4003 -1.26238) (layer F.SilkS) (width 0.15))
(fp_line (start -1.6002 -1.26238) (end -2.4003 -1.26238) (layer F.SilkS) (width 0.15))
(fp_line (start -1.6002 1.13538) (end -2.4003 1.13538) (layer F.SilkS) (width 0.15))
(fp_line (start 1.6002 1.13538) (end 2.4003 1.13538) (layer F.SilkS) (width 0.15))
(fp_line (start -2.79908 -1.26238) (end -2.79908 1.13538) (layer F.SilkS) (width 0.15))
(fp_line (start 1.6002 -1.26238) (end -1.6002 -1.26238) (layer F.SilkS) (width 0.15))
(fp_line (start 1.6002 1.13538) (end -1.6002 1.13538) (layer F.SilkS) (width 0.15))
(pad 2 smd rect (at 1.3589 -0.0635 90) (size 1.95072 1.50114) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(C1-Pad2)"))
(pad 1 smd rect (at -1.3589 -0.0635 90) (size 1.95072 1.50114) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(C1-Pad1)"))
(model MLAB_3D/Capacitors/c_tant_A.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDCC) (tstamp 55A8A32E)
(at 29.13 -31.1)
(path /55A639B3)
(attr smd)
(fp_text reference C2 (at 0.02 -1.2) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 1nF (at 0 1.524) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 5 "Net-(C2-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 6 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDC9) (tstamp 55A8A338)
(at 30.39 -28.5 90)
(path /55A63C47)
(attr smd)
(fp_text reference C3 (at 0.78 -1.13 90) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 470pF (at 0 1.524 90) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(C3-Pad1)"))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 6 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDDE) (tstamp 55A8A342)
(at 27.85 -20.52)
(path /55A6512C)
(attr smd)
(fp_text reference C4 (at -1.79 0) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 1nF (at 0 1.524) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 8 "Net-(C4-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDD6) (tstamp 55A8A34C)
(at 30.59 -21.85 270)
(path /55A65213)
(attr smd)
(fp_text reference C5 (at 1.75 0 360) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 470pF (at 0 1.524 270) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 10 "Net-(C5-Pad1)"))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8F24D) (tstamp 55A8A356)
(at 20.55 -27.49 270)
(path /55A66F73)
(attr smd)
(fp_text reference C6 (at 1.73 0.8 360) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 1uF (at 0 1.524 270) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 16 /VAUX))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EE13) (tstamp 55A8A360)
(at 20.59 -23.75 90)
(path /55A6727D)
(attr smd)
(fp_text reference C7 (at -1.71 0 180) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 2,2uF (at 0 1.524 90) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 11 "Net-(C7-Pad1)"))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeD_Reflow (layer F.Cu) (tedit 55A8F22A) (tstamp 55A8A36C)
(at 26.58 -35.12)
(descr "Tantal Cap. , Size D, EIA-7343, Reflow,")
(tags "Tantal Cap. , Size D, EIA-7343, Reflow,")
(path /55A6722B)
(attr smd)
(fp_text reference C8 (at 0.57 1.17) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_text value 470uF (at -0.09906 3.59918) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_line (start -5.00126 -2.19964) (end -5.00126 2.19964) (layer F.SilkS) (width 0.15))
(fp_line (start -4.50088 2.19964) (end 4.50088 2.19964) (layer F.SilkS) (width 0.15))
(fp_line (start 4.50088 -2.19964) (end -4.50088 -2.19964) (layer F.SilkS) (width 0.15))
(fp_text user + (at -5.84 -1.25) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_line (start -5.65404 -3.302) (end -5.65404 -2.20218) (layer F.SilkS) (width 0.15))
(fp_line (start -6.25348 -2.80162) (end -5.0546 -2.80162) (layer F.SilkS) (width 0.15))
(pad 2 smd rect (at 3.175 0) (size 2.55016 2.70002) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 1 smd rect (at -3.175 0) (size 2.55016 2.70002) (layers F.Cu F.Paste F.Mask)
(net 12 "Net-(C8-Pad1)"))
(model MLAB_3D/Capacitors/c_tant_D.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5535DB0D) (tstamp 55A8A377)
(at 23.1 -31.2 270)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55A671D6)
(fp_text reference C9 (at 0 -3.81 270) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value 1-10F (at 0 3.81 270) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27 270) (layer F.SilkS) hide
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 1 thru_hole rect (at 0 -1.27 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 13 "Net-(C9-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Energy_harv:Step-Up_TRANSF (layer F.Cu) (tedit 55A8EDB8) (tstamp 55A8A38F)
(at 35.9 -33.29 180)
(path /55A64E73)
(fp_text reference T1 (at -0.56 -1.72 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Step-Up_TRANSFO (at 0 -5.25 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.8 -4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end -4 -2.8) (layer F.SilkS) (width 0.15))
(fp_line (start -4 -2.8) (end -2.8 -4) (layer F.SilkS) (width 0.15))
(fp_line (start 4 4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end 4 4) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 -1.9 180) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(C1-Pad1)"))
(pad 2 smd rect (at 1.9 -2.5 180) (size 2.6 1.4) (layers F.Cu F.Paste F.Mask)
(net 14 "Net-(T1-Pad2)"))
(pad 3 smd rect (at 2.5 1.9 180) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 4 smd rect (at -1.9 2.4 180) (size 2.6 1.6) (layers F.Cu F.Paste F.Mask)
(net 6 "Net-(C2-Pad2)"))
)
 
(module Energy_harv:Step-Up_TRANSF (layer F.Cu) (tedit 55A8EDBD) (tstamp 55A8A39C)
(at 35.94 -24.3)
(path /55A650B1)
(fp_text reference T2 (at 0.54 2.98) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Step-Up_TRANSFO (at 0 -5.25) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.8 -4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end -4 -2.8) (layer F.SilkS) (width 0.15))
(fp_line (start -4 -2.8) (end -2.8 -4) (layer F.SilkS) (width 0.15))
(fp_line (start 4 4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end 4 4) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 -1.9) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(C1-Pad2)"))
(pad 2 smd rect (at 1.9 -2.5) (size 2.6 1.4) (layers F.Cu F.Paste F.Mask)
(net 15 "Net-(T2-Pad2)"))
(pad 3 smd rect (at 2.5 1.9) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 4 smd rect (at -1.9 2.4) (size 2.6 1.6) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(C4-Pad2)"))
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5535DB0D) (tstamp 55A8A3A7)
(at 17.72 -28.47 180)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55A669FE)
(fp_text reference U1 (at 0 -3.81 180) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value THERM_GEN (at 0 3.81 180) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27 180) (layer F.SilkS) hide
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 4 "Net-(C1-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 3 "Net-(C1-Pad2)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module SMD_Packages:SSOP-20 (layer F.Cu) (tedit 55A8EE49) (tstamp 55A8A3C7)
(at 25.76676 -25.3647 270)
(descr "SSOP 20 pins")
(tags "CMS SSOP SMD")
(path /55A67D56)
(attr smd)
(fp_text reference U2 (at 2.9847 -0.44324 360) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value LTC3109GN (at 0 0.635 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 3.81 -1.778) (end -3.81 -1.778) (layer F.SilkS) (width 0.15))
(fp_line (start -3.81 1.778) (end 3.81 1.778) (layer F.SilkS) (width 0.15))
(fp_line (start 3.81 -1.778) (end 3.81 1.778) (layer F.SilkS) (width 0.15))
(fp_line (start -3.81 1.778) (end -3.81 -1.778) (layer F.SilkS) (width 0.15))
(fp_circle (center -3.302 1.27) (end -3.556 1.016) (layer F.SilkS) (width 0.15))
(fp_line (start -3.81 -0.635) (end -3.048 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -3.048 -0.635) (end -3.048 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -3.048 0.635) (end -3.81 0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.921 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 17 "Net-(J1-Pad2)"))
(pad 2 smd rect (at -2.286 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 18 "Net-(J2-Pad2)"))
(pad 3 smd rect (at -1.6256 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 13 "Net-(C9-Pad1)"))
(pad 4 smd rect (at -0.9652 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 16 /VAUX))
(pad 5 smd rect (at -0.3302 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 12 "Net-(C8-Pad1)"))
(pad 6 smd rect (at 0.3302 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 21 "Net-(J5-Pad3)"))
(pad 7 smd rect (at 0.9906 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 19 "Net-(J3-Pad1)"))
(pad 8 smd rect (at 1.6256 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 20 "Net-(J4-Pad1)"))
(pad 9 smd rect (at 2.286 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 11 "Net-(C7-Pad1)"))
(pad 10 smd rect (at 2.921 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 11 smd rect (at 2.921 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 8 "Net-(C4-Pad1)"))
(pad 12 smd rect (at 2.286 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 10 "Net-(C5-Pad1)"))
(pad 13 smd rect (at 1.6256 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 14 smd rect (at 0.9906 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 15 "Net-(T2-Pad2)"))
(pad 15 smd rect (at 0.3302 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(C1-Pad1)"))
(pad 16 smd rect (at -0.3302 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(C1-Pad2)"))
(pad 17 smd rect (at -0.9652 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 14 "Net-(T1-Pad2)"))
(pad 18 smd rect (at -1.6256 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 19 smd rect (at -2.286 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(C3-Pad1)"))
(pad 20 smd rect (at -2.921 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 5 "Net-(C2-Pad1)"))
(model SMD_Packages.3dshapes/SSOP-20.wrl
(at (xyz 0 0 0))
(scale (xyz 0.255 0.33 0.3))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_Pin_Headers:Straight_1x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6917)
(at 8.4084 -26.5204)
(descr "pin header straight 1x03")
(tags "pin header straight 1x03")
(path /55BA9377)
(fp_text reference J2 (at 0 -5.08) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS2 (at 0 5.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -2.54) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -3.81) (end 1.27 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -3.81) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 3.81) (end -1.27 -3.81) (layer F.SilkS) (width 0.15))
(pad 3 thru_hole rect (at 0 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 16 /VAUX))
(pad 2 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 18 "Net-(J2-Pad2)"))
(pad 1 thru_hole rect (at 0 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_1x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA691D)
(at 39.9044 -10.62)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BAAB6B)
(fp_text reference J3 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VOUT2_EN (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 19 "Net-(J3-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 19 "Net-(J3-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6923)
(at 19.5844 -10.874)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BA9CD4)
(fp_text reference J4 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value "Power Good" (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 "Net-(J4-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 "Net-(J4-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA692D)
(at 32.132 -43.0304)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9E0C)
(fp_text reference J5 (at 0 -5.08) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout2 (at 0 5.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 2 thru_hole rect (at 1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 3 thru_hole rect (at -1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 21 "Net-(J5-Pad3)"))
(pad 4 thru_hole rect (at 1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 21 "Net-(J5-Pad3)"))
(pad 5 thru_hole rect (at -1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 6 thru_hole rect (at 1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6937)
(at 27.9664 -7.572)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9EE7)
(fp_text reference J6 (at 0 -5.08) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout (at 0 5.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 2 thru_hole rect (at 1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 3 thru_hole rect (at -1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 12 "Net-(C8-Pad1)"))
(pad 4 thru_hole rect (at 1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 12 "Net-(C8-Pad1)"))
(pad 5 thru_hole rect (at -1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 6 thru_hole rect (at 1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA693C)
(at 0 -30.48)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA76BA)
(fp_text reference M1 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 22 "Net-(M1-Pad1)") (clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6941)
(at 0 0)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA779F)
(fp_text reference M2 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 23 "Net-(M2-Pad1)") (clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6946)
(at 43.18 -30.48)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77E6)
(fp_text reference M3 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 24 "Net-(M3-Pad1)") (clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA694B)
(at 40.64 0)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77EC)
(fp_text reference M4 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 25 "Net-(M4-Pad1)") (clearance 1) (zone_connect 2))
)
 
(module Mlab_Pin_Headers:Straight_1x03 (layer F.Cu) (tedit 5454C210) (tstamp 55D266C9)
(at 17.7 -22.43)
(descr "pin header straight 1x03")
(tags "pin header straight 1x03")
(path /55BA9255)
(fp_text reference J1 (at 0 -5.08) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS1 (at 0 5.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -2.54) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -3.81) (end 1.27 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -3.81) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 3.81) (end -1.27 -3.81) (layer F.SilkS) (width 0.15))
(pad 3 thru_hole rect (at 0 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 16 /VAUX))
(pad 2 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 17 "Net-(J1-Pad2)"))
(pad 1 thru_hole rect (at 0 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_1x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(segment (start 24.72 -21.39042) (end 24.00958 -20.68) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8F294))
(segment (start 24.72 -23.4882) (end 24.72 -21.39042) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8F28D))
(segment (start 24.4691 -23.7391) (end 24.72 -23.4882) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8F285))
(segment (start 23.09976 -22.4437) (end 21.9337 -22.4437) (width 0.25) (layer F.Cu) (net 2))
(segment (start 21.9337 -22.4437) (end 20.71 -21.22) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8F2AE))
(segment (start 20.55 -26.728) (end 20.55 -24.552) (width 0.25) (layer F.Cu) (net 2))
(segment (start 20.55 -24.552) (end 20.59 -24.512) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8F07D))
(segment (start 29.755 -35.12) (end 33.33 -35.12) (width 0.25) (layer F.Cu) (net 2))
(segment (start 33.33 -35.12) (end 33.4 -35.19) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8F066))
(segment (start 28.43376 -26.9903) (end 27.3203 -26.9903) (width 0.25) (layer F.Cu) (net 2))
(segment (start 26.64 -26.31) (end 26.64 -24.37) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EC18))
(segment (start 27.3203 -26.9903) (end 26.64 -26.31) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EC16))
(segment (start 28.43376 -23.7391) (end 27.2709 -23.7391) (width 0.25) (layer F.Cu) (net 2))
(segment (start 27.2709 -23.7391) (end 26.64 -24.37) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EBC8))
(segment (start 20.65 -21.16) (end 20.71 -21.22) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EB81))
(segment (start 20.91 -21.42) (end 21.28 -21.42) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB88))
(via (at 20.71 -21.22) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 20.71 -21.22) (end 20.91 -21.42) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB87))
(segment (start 38.44 -22.4) (end 37.5 -22.4) (width 0.25) (layer F.Cu) (net 2))
(segment (start 36.5 -23.4) (end 35.75 -23.4) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB38))
(segment (start 36.63 -23.27) (end 36.5 -23.4) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB37))
(via (at 36.63 -23.27) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 37.5 -22.4) (end 36.63 -23.27) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EB34))
(segment (start 29.755 -35.12) (end 28.26 -35.12) (width 0.25) (layer F.Cu) (net 2))
(segment (start 27.1 -36.28) (end 25.89 -36.28) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EAFE))
(segment (start 27.36 -36.02) (end 27.1 -36.28) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EAFD))
(via (at 27.36 -36.02) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 28.26 -35.12) (end 27.36 -36.02) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EAEF))
(segment (start 21.83 -31.2) (end 21.83 -30.66) (width 0.25) (layer F.Cu) (net 2))
(segment (start 21.83 -30.66) (end 23.13 -29.36) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8E9CB))
(via (at 23.13 -29.36) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 23.13 -29.36) (end 26.64 -25.85) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8E9D4))
(segment (start 26.64 -25.85) (end 26.64 -24.37) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8E9D5))
(via (at 26.64 -24.37) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 26.64 -24.37) (end 26.6359 -24.3741) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8E9EB))
(segment (start 26.6359 -24.3741) (end 25.65 -24.3741) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8E9EC))
(segment (start 23.09976 -24.3741) (end 20.7279 -24.3741) (width 0.25) (layer F.Cu) (net 2))
(segment (start 20.7279 -24.3741) (end 20.59 -24.512) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D556))
(segment (start 20.55 -24.552) (end 20.59 -24.512) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D520))
(segment (start 33.3 -35.09) (end 33.4 -35.19) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D320) (status 30))
(segment (start 20.463 -24.639) (end 20.59 -24.512) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8CCD5) (status 30))
(segment (start 20.70176 -24.40024) (end 20.59 -24.512) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8CCAE) (status 30))
(segment (start 38.44 -22.4) (end 38.44 -22.17466) (width 0.25) (layer F.Cu) (net 2) (status 30))
(segment (start 23.09976 -22.4437) (end 23.11042 -20.68) (width 0.25) (layer F.Cu) (net 2) (status 20))
(segment (start 17.7 -23.7) (end 17.7 -25.51) (width 0.25) (layer F.Cu) (net 2))
(segment (start 17.74 -25.47) (end 18.01 -25.47) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D527))
(segment (start 17.7 -25.51) (end 17.74 -25.47) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D524))
(via (at 18.01 -25.47) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 18.13 -25.59) (end 21.29 -25.59) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8D52A))
(segment (start 18.01 -25.47) (end 18.13 -25.59) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8D529))
(via (at 21.29 -25.59) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 21.29 -25.59) (end 21.3949 -25.6949) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D52C))
(segment (start 17.7 -21.16) (end 20.65 -21.16) (width 0.25) (layer F.Cu) (net 2))
(segment (start 33.44 -26.2) (end 35.14 -26.2) (width 0.25) (layer F.Cu) (net 3))
(segment (start 20.52 -34.25) (end 19.15 -34.25) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EFEC))
(via (at 20.52 -34.25) (size 0.6) (layers F.Cu B.Cu) (net 3))
(segment (start 29.56 -34.25) (end 20.52 -34.25) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFE6))
(segment (start 35.24 -28.57) (end 29.56 -34.25) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFDE))
(segment (start 35.24 -26.48) (end 35.24 -28.57) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFDC))
(segment (start 35.33 -26.39) (end 35.24 -26.48) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFDB))
(via (at 35.33 -26.39) (size 0.6) (layers F.Cu B.Cu) (net 3))
(segment (start 35.14 -26.2) (end 35.33 -26.39) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EFC7))
(segment (start 33.44 -26.2) (end 34.07 -26.2) (width 0.25) (layer F.Cu) (net 3))
(segment (start 28.43376 -25.6949) (end 32.9349 -25.6949) (width 0.25) (layer F.Cu) (net 3))
(segment (start 32.9349 -25.6949) (end 33.44 -26.2) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EF0E))
(segment (start 17.6565 -36.2489) (end 19.1611 -36.2489) (width 0.25) (layer F.Cu) (net 3))
(segment (start 19.1611 -36.2489) (end 19.15 -36.2378) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D571))
(segment (start 19.15 -34.34) (end 19.15 -34.25) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EF43))
(segment (start 19.15 -34.81) (end 19.15 -34.34) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D769))
(segment (start 19.15 -36.2378) (end 19.15 -34.81) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D576))
(segment (start 19.13 -27.2) (end 17.72 -27.2) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D58A))
(segment (start 19.15 -34.25) (end 19.15 -27.22) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D7D1))
(segment (start 19.15 -27.22) (end 19.13 -27.2) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D589))
(segment (start 17.4914 -36.0838) (end 17.6565 -36.2489) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8CCE5) (status 30))
(segment (start 38.4 -28.81) (end 38.4 -31.39) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D6FF))
(via (at 38.4 -28.81) (size 0.6) (layers F.Cu B.Cu) (net 4))
(segment (start 38.37 -28.78) (end 38.4 -28.81) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6FD))
(segment (start 38.37 -27.91) (end 38.37 -28.78) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6F7))
(segment (start 37.335 -26.875) (end 38.37 -27.91) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D77F))
(segment (start 31.01 -25.01) (end 35.47 -25.01) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6D4))
(segment (start 35.47 -25.01) (end 37.335 -26.875) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6DE))
(segment (start 30.98 -25.04) (end 31.01 -25.01) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6D3))
(segment (start 17.72 -31.55) (end 18.42 -31.55) (width 0.25) (layer F.Cu) (net 4))
(segment (start 29.32 -23.38) (end 30.98 -25.04) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D7A8))
(segment (start 24.04 -23.38) (end 29.32 -23.38) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D7A3))
(segment (start 22.6 -24.82) (end 24.04 -23.38) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D79D))
(segment (start 22.6 -27.37) (end 22.6 -24.82) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D78D))
(segment (start 18.42 -31.55) (end 22.6 -27.37) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D78C))
(via (at 18.42 -31.55) (size 0.6) (layers F.Cu B.Cu) (net 4))
(segment (start 28.43376 -25.0345) (end 30.9745 -25.0345) (width 0.25) (layer F.Cu) (net 4))
(via (at 30.98 -25.04) (size 0.6) (layers F.Cu B.Cu) (net 4))
(segment (start 30.9745 -25.0345) (end 30.98 -25.04) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D6CF))
(segment (start 17.72 -29.74) (end 17.72 -31.55) (width 0.25) (layer F.Cu) (net 4))
(segment (start 17.72 -31.55) (end 17.72 -31.59) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D788))
(segment (start 17.72 -31.59) (end 17.72 -33.4676) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D668))
(segment (start 17.72 -33.4676) (end 17.6565 -33.5311) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D56D))
(segment (start 38.4 -31.39) (end 38.4 -30.59) (width 0.25) (layer F.Cu) (net 4) (status 30))
(segment (start 17.6438 -33.5438) (end 17.6565 -33.5311) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8CCE8) (status 30))
(segment (start 28.368 -31.1) (end 28.368 -28.35146) (width 0.25) (layer F.Cu) (net 5))
(segment (start 28.368 -28.35146) (end 28.43376 -28.2857) (width 0.25) (layer F.Cu) (net 5) (tstamp 55A8F051))
(segment (start 29.892 -31.1) (end 29.892 -29.76) (width 0.25) (layer F.Cu) (net 6))
(segment (start 29.892 -29.76) (end 30.39 -29.262) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8F048))
(segment (start 30.14 -31.1) (end 29.892 -31.1) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D623))
(segment (start 29.892 -31.1) (end 30.67 -31.1) (width 0.25) (layer F.Cu) (net 6))
(segment (start 30.67 -31.1) (end 30.96 -31.1) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D502))
(segment (start 30.96 -31.1) (end 32.74 -32.88) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D4F7))
(segment (start 32.74 -32.88) (end 34.99 -32.88) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D4F9))
(segment (start 34.99 -32.88) (end 37.8 -35.69) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D4FB))
(segment (start 37.8 -35.69) (end 37.8 -35.66244) (width 0.25) (layer F.Cu) (net 6) (status 30))
(segment (start 28.43376 -27.6507) (end 30.3027 -27.6507) (width 0.25) (layer F.Cu) (net 7) (status 20))
(segment (start 30.3027 -27.6507) (end 30.39 -27.738) (width 0.25) (layer F.Cu) (net 7) (tstamp 55A8D5D9) (status 30))
(segment (start 28.43376 -22.4437) (end 27.08038 -20.52762) (width 0.25) (layer F.Cu) (net 8) (status 20))
(segment (start 27.08038 -20.52762) (end 27.088 -20.52) (width 0.25) (layer F.Cu) (net 8) (tstamp 55A8C9FF) (status 30))
(segment (start 30.59 -21.088) (end 33.228 -21.088) (width 0.25) (layer F.Cu) (net 9))
(segment (start 33.228 -21.088) (end 34.04 -21.9) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8ECC9))
(segment (start 30.59 -21.088) (end 29.18 -21.088) (width 0.25) (layer F.Cu) (net 9))
(segment (start 29.18 -21.088) (end 28.612 -20.52) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8E8A4))
(segment (start 30.58238 -21.08038) (end 30.59 -21.088) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8CA07) (status 30))
(segment (start 34.0397 -21.8997) (end 34.04 -21.9) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8CA04) (status 30))
(segment (start 30.59 -22.612) (end 30.41474 -22.612) (width 0.25) (layer F.Cu) (net 10) (status 30))
(segment (start 30.41474 -22.612) (end 29.67836 -23.0787) (width 0.25) (layer F.Cu) (net 10) (tstamp 55A8CA0C) (status 10))
(segment (start 29.67836 -23.0787) (end 28.43376 -23.0787) (width 0.25) (layer F.Cu) (net 10) (tstamp 55A8CA1D))
(segment (start 23.09976 -23.0787) (end 20.6807 -23.0787) (width 0.25) (layer F.Cu) (net 11))
(segment (start 20.6807 -23.0787) (end 20.59 -22.988) (width 0.25) (layer F.Cu) (net 11) (tstamp 55A8D55B))
(segment (start 20.70684 -23.10484) (end 20.59 -22.988) (width 0.25) (layer F.Cu) (net 11) (tstamp 55A8CCB1) (status 30))
(segment (start 23.405 -35.12) (end 25.89 -35.12) (width 0.25) (layer F.Cu) (net 12))
(segment (start 24.6949 -25.6949) (end 23.09976 -25.6949) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F02D))
(segment (start 25.87 -26.87) (end 24.6949 -25.6949) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F028))
(segment (start 25.87 -35.1) (end 25.87 -26.87) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F023))
(segment (start 25.89 -35.12) (end 25.87 -35.1) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F01E))
(segment (start 21.3949 -25.6949) (end 23.09976 -25.6949) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8D52D))
(segment (start 23.09976 -25.6949) (end 23.09976 -25.0345) (width 0.25) (layer F.Cu) (net 12))
(segment (start 23.09976 -26.9903) (end 24.2403 -26.9903) (width 0.25) (layer F.Cu) (net 13))
(segment (start 25.02 -27.77) (end 25.02 -30.55) (width 0.25) (layer F.Cu) (net 13) (tstamp 55A8EC33))
(segment (start 24.2403 -26.9903) (end 25.02 -27.77) (width 0.25) (layer F.Cu) (net 13) (tstamp 55A8EC28))
(segment (start 25.02 -30.55) (end 24.37 -31.2) (width 0.25) (layer F.Cu) (net 13) (tstamp 55A8EC38))
(segment (start 28.43376 -26.3299) (end 31.1299 -26.3299) (width 0.25) (layer F.Cu) (net 14))
(segment (start 32.1 -28.89) (end 34 -30.79) (width 0.25) (layer F.Cu) (net 14) (tstamp 55A8D5EF))
(segment (start 32.1 -27.3) (end 32.1 -28.89) (width 0.25) (layer F.Cu) (net 14) (tstamp 55A8D5EB))
(segment (start 31.1299 -26.3299) (end 32.1 -27.3) (width 0.25) (layer F.Cu) (net 14) (tstamp 55A8D5DD))
(segment (start 37.84 -26.8) (end 37.84 -26.57338) (width 0.25) (layer F.Cu) (net 15) (status 30))
(segment (start 37.84 -26.57338) (end 37.832785 -24.374305) (width 0.25) (layer F.Cu) (net 15) (tstamp 55A8CBD1) (status 10))
(segment (start 37.832785 -24.374305) (end 28.792925 -24.374305) (width 0.25) (layer F.Cu) (net 15) (tstamp 55A8CBD2))
(segment (start 23.09976 -28.2857) (end 20.5837 -28.2857) (width 0.25) (layer F.Cu) (net 16))
(segment (start 20.5837 -28.2857) (end 20.55 -28.252) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8ECE6))
(segment (start 21.96524 -26.3299) (end 23.09976 -26.3299) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDB0))
(segment (start 21.95 -26.34514) (end 21.96524 -26.3299) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDAA))
(segment (start 21.95 -27.64816) (end 21.95 -26.34514) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDA5))
(segment (start 21.94746 -27.6507) (end 21.95 -27.64816) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDA0))
(segment (start 23.09976 -28.2857) (end 23.09976 -27.6507) (width 0.25) (layer F.Cu) (net 17))
(segment (start 23.09214 -28.27808) (end 23.09976 -28.2857) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8CB55))
(segment (start 23.09976 -27.6507) (end 21.94746 -27.6507) (width 0.25) (layer F.Cu) (net 18))
(segment (start 23.09976 -24.3741) (end 25.65 -24.3741) (width 0.25) (layer F.Cu) (net 19))
(segment (start 23.09976 -23.7391) (end 24.4691 -23.7391) (width 0.25) (layer F.Cu) (net 20))
 
(zone (net 2) (net_name GND) (layer B.Cu) (tstamp 55A8EA60) (hatch edge 0.508)
(connect_pads (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 40.66 -19.64) (xy 40.69 -38.3) (xy 15.54 -38.3) (xy 15.54 -19.64)
)
)
(filled_polygon
(pts
(xy 40.562795 -38.173) (xy 40.533203 -19.767) (xy 39.335162 -19.767) (xy 39.335162 -28.995167) (xy 39.193117 -29.338943)
(xy 38.930327 -29.602192) (xy 38.586799 -29.744838) (xy 38.214833 -29.745162) (xy 37.871057 -29.603117) (xy 37.607808 -29.340327)
(xy 37.465162 -28.996799) (xy 37.464838 -28.624833) (xy 37.606883 -28.281057) (xy 37.61 -28.277935) (xy 37.61 -28.224802)
(xy 36.797599 -27.412401) (xy 36.186511 -26.801314) (xy 36.113117 -26.978943) (xy 36.08 -27.012118) (xy 36.08 -28.52)
(xy 36.022148 -28.810839) (xy 35.857401 -29.057401) (xy 30.127401 -34.787401) (xy 29.880839 -34.952148) (xy 29.59 -35.01)
(xy 21.102462 -35.01) (xy 21.070327 -35.042192) (xy 20.726799 -35.184838) (xy 20.354833 -35.185162) (xy 20.011057 -35.043117)
(xy 19.747808 -34.780327) (xy 19.605162 -34.436799) (xy 19.604838 -34.064833) (xy 19.746883 -33.721057) (xy 20.009673 -33.457808)
(xy 20.353201 -33.315162) (xy 20.725167 -33.314838) (xy 21.068943 -33.456883) (xy 21.102117 -33.49) (xy 29.275198 -33.49)
(xy 34.56 -28.205198) (xy 34.56 -27.012463) (xy 34.527808 -26.980327) (xy 34.385162 -26.636799) (xy 34.384838 -26.264833)
(xy 34.526883 -25.921057) (xy 34.677676 -25.77) (xy 31.57241 -25.77) (xy 31.510327 -25.832192) (xy 31.166799 -25.974838)
(xy 30.794833 -25.975162) (xy 30.451057 -25.833117) (xy 30.187808 -25.570327) (xy 30.045162 -25.226799) (xy 30.045121 -25.179924)
(xy 29.005198 -24.14) (xy 25.77944 -24.14) (xy 25.77944 -30.438) (xy 25.77944 -31.962) (xy 25.732463 -32.204123)
(xy 25.592673 -32.416927) (xy 25.38164 -32.559377) (xy 25.132 -32.60944) (xy 23.608 -32.60944) (xy 23.365877 -32.562463)
(xy 23.153073 -32.422673) (xy 23.103214 -32.348811) (xy 22.951699 -32.500327) (xy 22.71831 -32.597) (xy 22.465691 -32.597)
(xy 22.11575 -32.597) (xy 21.957 -32.43825) (xy 21.957 -31.327) (xy 21.977 -31.327) (xy 21.977 -31.073)
(xy 21.957 -31.073) (xy 21.957 -29.96175) (xy 22.11575 -29.803) (xy 22.465691 -29.803) (xy 22.71831 -29.803)
(xy 22.951699 -29.899673) (xy 23.102832 -30.050808) (xy 23.147327 -29.983073) (xy 23.35836 -29.840623) (xy 23.608 -29.79056)
(xy 25.132 -29.79056) (xy 25.374123 -29.837537) (xy 25.586927 -29.977327) (xy 25.729377 -30.18836) (xy 25.77944 -30.438)
(xy 25.77944 -24.14) (xy 24.354802 -24.14) (xy 23.36 -25.134802) (xy 23.36 -27.37) (xy 23.302148 -27.660839)
(xy 23.137401 -27.907401) (xy 21.241802 -29.803) (xy 21.54425 -29.803) (xy 21.703 -29.96175) (xy 21.703 -31.073)
(xy 21.703 -31.327) (xy 21.703 -32.43825) (xy 21.54425 -32.597) (xy 21.194309 -32.597) (xy 20.94169 -32.597)
(xy 20.708301 -32.500327) (xy 20.529673 -32.321698) (xy 20.433 -32.088309) (xy 20.433 -31.48575) (xy 20.59175 -31.327)
(xy 21.703 -31.327) (xy 21.703 -31.073) (xy 20.59175 -31.073) (xy 20.433 -30.91425) (xy 20.433 -30.611802)
(xy 19.355122 -31.68968) (xy 19.355162 -31.735167) (xy 19.213117 -32.078943) (xy 18.950327 -32.342192) (xy 18.606799 -32.484838)
(xy 18.234833 -32.485162) (xy 17.891057 -32.343117) (xy 17.627808 -32.080327) (xy 17.485162 -31.736799) (xy 17.484838 -31.364833)
(xy 17.573836 -31.14944) (xy 16.958 -31.14944) (xy 16.715877 -31.102463) (xy 16.503073 -30.962673) (xy 16.360623 -30.75164)
(xy 16.31056 -30.502) (xy 16.31056 -28.978) (xy 16.357537 -28.735877) (xy 16.497327 -28.523073) (xy 16.575541 -28.470278)
(xy 16.503073 -28.422673) (xy 16.360623 -28.21164) (xy 16.31056 -27.962) (xy 16.31056 -26.438) (xy 16.357537 -26.195877)
(xy 16.497327 -25.983073) (xy 16.70836 -25.840623) (xy 16.958 -25.79056) (xy 17.130704 -25.79056) (xy 17.075162 -25.656799)
(xy 17.074838 -25.284833) (xy 17.147308 -25.10944) (xy 16.938 -25.10944) (xy 16.695877 -25.062463) (xy 16.483073 -24.922673)
(xy 16.340623 -24.71164) (xy 16.29056 -24.462) (xy 16.29056 -22.938) (xy 16.337537 -22.695877) (xy 16.477327 -22.483073)
(xy 16.551189 -22.433215) (xy 16.399673 -22.281699) (xy 16.303 -22.04831) (xy 16.303 -21.795691) (xy 16.303 -21.44575)
(xy 16.46175 -21.287) (xy 17.573 -21.287) (xy 17.573 -21.307) (xy 17.827 -21.307) (xy 17.827 -21.287)
(xy 18.93825 -21.287) (xy 19.097 -21.44575) (xy 19.097 -21.795691) (xy 19.097 -22.04831) (xy 19.000327 -22.281699)
(xy 18.849192 -22.432833) (xy 18.916927 -22.477327) (xy 19.059377 -22.68836) (xy 19.10944 -22.938) (xy 19.10944 -24.462)
(xy 19.062463 -24.704123) (xy 18.979774 -24.83) (xy 20.727537 -24.83) (xy 20.759673 -24.797808) (xy 21.103201 -24.655162)
(xy 21.475167 -24.654838) (xy 21.818943 -24.796883) (xy 21.840347 -24.818251) (xy 21.897852 -24.529161) (xy 22.062599 -24.282599)
(xy 23.502599 -22.842599) (xy 23.749161 -22.677852) (xy 24.04 -22.62) (xy 29.32 -22.62) (xy 29.610839 -22.677852)
(xy 29.857401 -22.842599) (xy 31.119679 -24.104878) (xy 31.165167 -24.104838) (xy 31.508943 -24.246883) (xy 31.512065 -24.25)
(xy 35.47 -24.25) (xy 35.760839 -24.307852) (xy 36.007401 -24.472599) (xy 37.872401 -26.337599) (xy 38.907401 -27.372599)
(xy 39.072148 -27.619161) (xy 39.13 -27.91) (xy 39.13 -28.21759) (xy 39.192192 -28.279673) (xy 39.334838 -28.623201)
(xy 39.335162 -28.995167) (xy 39.335162 -19.767) (xy 18.597965 -19.767) (xy 18.821698 -19.859673) (xy 19.000327 -20.038301)
(xy 19.097 -20.27169) (xy 19.097 -20.524309) (xy 19.097 -20.87425) (xy 18.93825 -21.033) (xy 17.827 -21.033)
(xy 17.827 -21.013) (xy 17.573 -21.013) (xy 17.573 -21.033) (xy 16.46175 -21.033) (xy 16.303 -20.87425)
(xy 16.303 -20.524309) (xy 16.303 -20.27169) (xy 16.399673 -20.038301) (xy 16.578302 -19.859673) (xy 16.802034 -19.767)
(xy 15.667 -19.767) (xy 15.667 -38.173) (xy 40.562795 -38.173)
)
)
)
)
(kicad_pcb (version 4) (host pcbnew "(2015-05-13 BZR 5653)-product")
 
(general
(links 55)
(no_connects 20)
(area 0.203999 -25.196001 40.436001 9.956001)
(thickness 1.6)
(drawings 4)
(tracks 126)
(zones 0)
(modules 26)
(nets 21)
)
 
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
 
(setup
(last_trace_width 0.25)
(trace_clearance 0.2)
(zone_clearance 0.508)
(zone_45_only no)
(trace_min 0.2)
(segment_width 0.2)
(edge_width 0.1)
(via_size 0.6)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 6 6)
(pad_drill 3)
(pad_to_mask_clearance 0)
(aux_axis_origin 0 0)
(visible_elements 7FFEFFEF)
(pcbplotparams
(layerselection 0x00030_80000001)
(usegerberextensions false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15)
(hpglpenoverlay 2)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 1)
(scaleselection 1)
(outputdirectory ""))
)
 
(net 0 "")
(net 1 GND)
(net 2 "Net-(C2-Pad1)")
(net 3 "Net-(C2-Pad2)")
(net 4 "Net-(C3-Pad1)")
(net 5 "Net-(C4-Pad1)")
(net 6 "Net-(C4-Pad2)")
(net 7 "Net-(C5-Pad1)")
(net 8 "Net-(C7-Pad1)")
(net 9 "Net-(T1-Pad2)")
(net 10 "Net-(T2-Pad2)")
(net 11 /VAUX)
(net 12 "Net-(J1-Pad2)")
(net 13 "Net-(J2-Pad2)")
(net 14 "Net-(J3-Pad1)")
(net 15 "Net-(J4-Pad1)")
(net 16 /T-)
(net 17 /T+)
(net 18 /V_OUT)
(net 19 /C+)
(net 20 /V_OUT2)
 
(net_class Default "Toto je výchozí třída sítě."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.6)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /C+)
(add_net /T+)
(add_net /T-)
(add_net /VAUX)
(add_net /V_OUT)
(add_net /V_OUT2)
(add_net GND)
(add_net "Net-(C2-Pad1)")
(add_net "Net-(C2-Pad2)")
(add_net "Net-(C3-Pad1)")
(add_net "Net-(C4-Pad1)")
(add_net "Net-(C4-Pad2)")
(add_net "Net-(C5-Pad1)")
(add_net "Net-(C7-Pad1)")
(add_net "Net-(J1-Pad2)")
(add_net "Net-(J2-Pad2)")
(add_net "Net-(J3-Pad1)")
(add_net "Net-(J4-Pad1)")
(add_net "Net-(T1-Pad2)")
(add_net "Net-(T2-Pad2)")
)
 
(module Mlab_R:SMD-0402 (layer B.Cu) (tedit 55A8F259) (tstamp 55A8A316)
(at 20.512 -15.388 180)
(path /55A75F10)
(attr smd)
(fp_text reference 10M (at 1.51934 0.02486 180) (layer B.SilkS)
(effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
)
(fp_text value R (at -0.127 -0.889 180) (layer B.SilkS) hide
(effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
)
(fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.15))
(fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.15))
(fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.15))
(fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.15))
(fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.15))
(fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model MLAB_3D/Resistors/r_0402.wrl
(at (xyz 0 0 0.002))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeA_Reflow (layer B.Cu) (tedit 55A8F23C) (tstamp 55A8A324)
(at 13.97 -5.08 270)
(descr "Tantal Cap. , Size A, EIA-3216, Reflow,")
(tags "Tantal Cap. , Size A, EIA-3216, reflow,")
(path /55A65C0C)
(attr smd)
(fp_text reference C1 (at -1.66 -2.61 540) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_text value 47uF (at 0 -3.048 270) (layer B.SilkS) hide
(effects (font (thickness 0.3048)) (justify mirror))
)
(fp_text user + (at -3.08 1.69 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_line (start 1.6002 1.26238) (end 2.4003 1.26238) (layer B.SilkS) (width 0.15))
(fp_line (start -1.6002 1.26238) (end -2.4003 1.26238) (layer B.SilkS) (width 0.15))
(fp_line (start -1.6002 -1.13538) (end -2.4003 -1.13538) (layer B.SilkS) (width 0.15))
(fp_line (start 1.6002 -1.13538) (end 2.4003 -1.13538) (layer B.SilkS) (width 0.15))
(fp_line (start -2.79908 1.26238) (end -2.79908 -1.13538) (layer B.SilkS) (width 0.15))
(fp_line (start 1.6002 1.26238) (end -1.6002 1.26238) (layer B.SilkS) (width 0.15))
(fp_line (start 1.6002 -1.13538) (end -1.6002 -1.13538) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 1.3589 0.0635 270) (size 1.95072 1.50114) (layers B.Cu B.Paste B.Mask)
(net 16 /T-))
(pad 1 smd rect (at -1.3589 0.0635 270) (size 1.95072 1.50114) (layers B.Cu B.Paste B.Mask)
(net 17 /T+))
(model MLAB_3D/Capacitors/c_tant_A.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDCC) (tstamp 55A8A32E)
(at 26.082 -4.968)
(path /55A639B3)
(attr smd)
(fp_text reference C2 (at 0.02 1.2) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 1nF (at 0 -1.524) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 2 "Net-(C2-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDC9) (tstamp 55A8A338)
(at 27.342 -7.568 270)
(path /55A63C47)
(attr smd)
(fp_text reference C3 (at 0.78 1.13 270) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 470pF (at 0 -1.524 270) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 4 "Net-(C3-Pad1)"))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDDE) (tstamp 55A8A342)
(at 24.802 -15.548)
(path /55A6512C)
(attr smd)
(fp_text reference C4 (at -1.79 0) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 1nF (at 0 -1.524) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 5 "Net-(C4-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDD6) (tstamp 55A8A34C)
(at 27.542 -14.218 90)
(path /55A65213)
(attr smd)
(fp_text reference C5 (at 1.75 0 360) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 470pF (at 0 -1.524 90) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 7 "Net-(C5-Pad1)"))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8F24D) (tstamp 55A8A356)
(at 17.502 -8.578 90)
(path /55A66F73)
(attr smd)
(fp_text reference C6 (at 1.73 -0.8 360) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 1uF (at 0 -1.524 90) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 11 /VAUX))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EE13) (tstamp 55A8A360)
(at 17.542 -12.318 270)
(path /55A6727D)
(attr smd)
(fp_text reference C7 (at -1.71 0 540) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 2,2uF (at 0 -1.524 270) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 8 "Net-(C7-Pad1)"))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeD_Reflow (layer B.Cu) (tedit 55A8F22A) (tstamp 55A8A36C)
(at 15.24 5.08 270)
(descr "Tantal Cap. , Size D, EIA-7343, Reflow,")
(tags "Tantal Cap. , Size D, EIA-7343, Reflow,")
(path /55A6722B)
(attr smd)
(fp_text reference C8 (at 0.57 -1.17 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_text value 470uF (at -0.09906 -3.59918 270) (layer B.SilkS) hide
(effects (font (thickness 0.3048)) (justify mirror))
)
(fp_line (start -5.00126 2.19964) (end -5.00126 -2.19964) (layer B.SilkS) (width 0.15))
(fp_line (start -4.50088 -2.19964) (end 4.50088 -2.19964) (layer B.SilkS) (width 0.15))
(fp_line (start 4.50088 2.19964) (end -4.50088 2.19964) (layer B.SilkS) (width 0.15))
(fp_text user + (at -5.84 1.25 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_line (start -5.65404 3.302) (end -5.65404 2.20218) (layer B.SilkS) (width 0.15))
(fp_line (start -6.25348 2.80162) (end -5.0546 2.80162) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 3.175 0 270) (size 2.55016 2.70002) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 1 smd rect (at -3.175 0 270) (size 2.55016 2.70002) (layers B.Cu B.Paste B.Mask)
(net 18 /V_OUT))
(model MLAB_3D/Capacitors/c_tant_D.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
 
(module Energy_harv:Step-Up_TRANSF (layer B.Cu) (tedit 55A8EDB8) (tstamp 55A8A38F)
(at 32.852 -2.778 180)
(path /55A64E73)
(fp_text reference T1 (at -0.56 1.72 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Step-Up_TRANSFO (at 0 5.25 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.8 4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end -4 2.8) (layer B.SilkS) (width 0.15))
(fp_line (start -4 2.8) (end -2.8 4) (layer B.SilkS) (width 0.15))
(fp_line (start 4 -4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end 4 -4) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 1.9 180) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 17 /T+))
(pad 2 smd rect (at 1.9 2.5 180) (size 2.6 1.4) (layers B.Cu B.Paste B.Mask)
(net 9 "Net-(T1-Pad2)"))
(pad 3 smd rect (at 2.5 -1.9 180) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 4 smd rect (at -1.9 -2.4 180) (size 2.6 1.6) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(C2-Pad2)"))
)
 
(module Energy_harv:Step-Up_TRANSF (layer B.Cu) (tedit 55A8EDBD) (tstamp 55A8A39C)
(at 32.892 -11.768)
(path /55A650B1)
(fp_text reference T2 (at 0.54 -2.98) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Step-Up_TRANSFO (at 0 5.25) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.8 4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end -4 2.8) (layer B.SilkS) (width 0.15))
(fp_line (start -4 2.8) (end -2.8 4) (layer B.SilkS) (width 0.15))
(fp_line (start 4 -4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end 4 -4) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 1.9) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 16 /T-))
(pad 2 smd rect (at 1.9 2.5) (size 2.6 1.4) (layers B.Cu B.Paste B.Mask)
(net 10 "Net-(T2-Pad2)"))
(pad 3 smd rect (at 2.5 -1.9) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 4 smd rect (at -1.9 -2.4) (size 2.6 1.6) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(C4-Pad2)"))
)
 
(module SMD_Packages:SSOP-20 (layer B.Cu) (tedit 55A8EE49) (tstamp 55A8A3C7)
(at 22.71876 -10.7033 90)
(descr "SSOP 20 pins")
(tags "CMS SSOP SMD")
(path /55A67D56)
(attr smd)
(fp_text reference U2 (at 2.9847 0.44324 360) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LTC3109GN (at 0 -0.635 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 3.81 1.778) (end -3.81 1.778) (layer B.SilkS) (width 0.15))
(fp_line (start -3.81 -1.778) (end 3.81 -1.778) (layer B.SilkS) (width 0.15))
(fp_line (start 3.81 1.778) (end 3.81 -1.778) (layer B.SilkS) (width 0.15))
(fp_line (start -3.81 -1.778) (end -3.81 1.778) (layer B.SilkS) (width 0.15))
(fp_circle (center -3.302 -1.27) (end -3.556 -1.016) (layer B.SilkS) (width 0.15))
(fp_line (start -3.81 0.635) (end -3.048 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -3.048 0.635) (end -3.048 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -3.048 -0.635) (end -3.81 -0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.921 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 12 "Net-(J1-Pad2)"))
(pad 2 smd rect (at -2.286 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 13 "Net-(J2-Pad2)"))
(pad 3 smd rect (at -1.6256 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 19 /C+))
(pad 4 smd rect (at -0.9652 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 11 /VAUX))
(pad 5 smd rect (at -0.3302 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 18 /V_OUT))
(pad 6 smd rect (at 0.3302 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 20 /V_OUT2))
(pad 7 smd rect (at 0.9906 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 14 "Net-(J3-Pad1)"))
(pad 8 smd rect (at 1.6256 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 15 "Net-(J4-Pad1)"))
(pad 9 smd rect (at 2.286 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 8 "Net-(C7-Pad1)"))
(pad 10 smd rect (at 2.921 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 11 smd rect (at 2.921 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 5 "Net-(C4-Pad1)"))
(pad 12 smd rect (at 2.286 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 7 "Net-(C5-Pad1)"))
(pad 13 smd rect (at 1.6256 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 14 smd rect (at 0.9906 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 10 "Net-(T2-Pad2)"))
(pad 15 smd rect (at 0.3302 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 17 /T+))
(pad 16 smd rect (at -0.3302 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 16 /T-))
(pad 17 smd rect (at -0.9652 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 9 "Net-(T1-Pad2)"))
(pad 18 smd rect (at -1.6256 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 19 smd rect (at -2.286 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 4 "Net-(C3-Pad1)"))
(pad 20 smd rect (at -2.921 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 2 "Net-(C2-Pad1)"))
(model SMD_Packages.3dshapes/SSOP-20.wrl
(at (xyz 0 0 0))
(scale (xyz 0.255 0.33 0.3))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_Pin_Headers:Straight_1x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6917)
(at 7.62 -11.43 90)
(descr "pin header straight 1x03")
(tags "pin header straight 1x03")
(path /55BA9377)
(fp_text reference J2 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS2 (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -3.81) (end 1.27 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -3.81) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 3.81) (end -1.27 -3.81) (layer F.SilkS) (width 0.15))
(pad 3 thru_hole rect (at 0 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 11 /VAUX))
(pad 2 thru_hole rect (at 0 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 13 "Net-(J2-Pad2)"))
(pad 1 thru_hole rect (at 0 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_1x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA691D)
(at 11.43 -20.32)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BAAB6B)
(fp_text reference J3 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VOUT2_EN (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 14 "Net-(J3-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 14 "Net-(J3-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6923)
(at 13.97 -20.32)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BA9CD4)
(fp_text reference J4 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value "Power Good" (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 15 "Net-(J4-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 15 "Net-(J4-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA692D)
(at 20.32 -20.32 90)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9E0C)
(fp_text reference J5 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout2 (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 2 thru_hole rect (at 1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at -1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 /V_OUT2))
(pad 4 thru_hole rect (at 1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 /V_OUT2))
(pad 5 thru_hole rect (at -1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at 1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6937)
(at 27.94 -20.32 90)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9EE7)
(fp_text reference J6 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 2 thru_hole rect (at 1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at -1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 18 /V_OUT))
(pad 4 thru_hole rect (at 1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 18 /V_OUT))
(pad 5 thru_hole rect (at -1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at 1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA693C)
(at 5.08 -20.32)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA76BA)
(fp_text reference M1 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6941)
(at 5.08 5.08)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA779F)
(fp_text reference M2 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6946)
(at 35.56 -20.32)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77E6)
(fp_text reference M3 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA694B)
(at 35.56 5.08)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77EC)
(fp_text reference M4 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Pin_Headers:Straight_1x03 (layer F.Cu) (tedit 5454C210) (tstamp 55D266C9)
(at 7.62 -13.97 90)
(descr "pin header straight 1x03")
(tags "pin header straight 1x03")
(path /55BA9255)
(fp_text reference J1 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS1 (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -3.81) (end 1.27 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -3.81) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 3.81) (end -1.27 -3.81) (layer F.SilkS) (width 0.15))
(pad 3 thru_hole rect (at 0 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 11 /VAUX))
(pad 2 thru_hole rect (at 0 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 12 "Net-(J1-Pad2)"))
(pad 1 thru_hole rect (at 0 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_1x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE190)
(at 7.62 -6.35)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DAEB67)
(fp_text reference P1 (at -4.826 -3.556) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 17 /T+))
(pad 1 thru_hole rect (at 2.54 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 17 /T+))
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE196)
(at 7.62 -1.27)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DB0223)
(fp_text reference P2 (at -4.826 -3.556) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 16 /T-))
(pad 1 thru_hole rect (at 2.54 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 16 /T-))
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE19C)
(at 26.67 2.54 90)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DB1560)
(fp_text reference P3 (at -4.826 -3.556 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 1 thru_hole rect (at 2.54 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE1A2)
(at 21.59 2.54 90)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DB1192)
(fp_text reference P4 (at -4.826 -3.556 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 19 /C+))
(pad 1 thru_hole rect (at 2.54 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 19 /C+))
)
 
(gr_line (start 0.254 9.906) (end 0.254 -25.146) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.386 9.906) (end 0.254 9.906) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.386 -25.146) (end 40.386 9.906) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 0.254 -25.146) (end 40.386 -25.146) (angle 90) (layer Edge.Cuts) (width 0.1))
 
(segment (start 20.05176 -13.6243) (end 20.06242 -15.388) (width 0.25) (layer B.Cu) (net 1) (status 20))
(segment (start 35.392 -13.668) (end 35.392 -13.89334) (width 0.25) (layer B.Cu) (net 1) (status 30))
(segment (start 17.65376 -11.66776) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8CCAE) (status 30))
(segment (start 17.415 -11.429) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8CCD5) (status 30))
(segment (start 30.252 -0.978) (end 30.352 -0.878) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8D320) (status 30))
(segment (start 17.502 -11.516) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8D520))
(segment (start 17.6799 -11.6939) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8D556))
(segment (start 20.05176 -11.6939) (end 17.6799 -11.6939) (width 0.25) (layer B.Cu) (net 1))
(segment (start 26.707 -0.948) (end 25.212 -0.948) (width 0.25) (layer B.Cu) (net 1))
(segment (start 34.452 -13.668) (end 33.582 -12.798) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EB34))
(via (at 33.582 -12.798) (size 0.6) (layers F.Cu B.Cu) (net 1))
(segment (start 33.582 -12.798) (end 33.452 -12.668) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EB37))
(segment (start 33.452 -12.668) (end 32.702 -12.668) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EB38))
(segment (start 35.392 -13.668) (end 34.452 -13.668) (width 0.25) (layer B.Cu) (net 1))
(segment (start 25.38576 -12.3289) (end 24.2229 -12.3289) (width 0.25) (layer B.Cu) (net 1))
(segment (start 24.2723 -9.0777) (end 23.592 -9.758) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EC16))
(segment (start 25.38576 -9.0777) (end 24.2723 -9.0777) (width 0.25) (layer B.Cu) (net 1))
(segment (start 30.282 -0.948) (end 30.352 -0.878) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8F066))
(segment (start 26.707 -0.948) (end 30.282 -0.948) (width 0.25) (layer B.Cu) (net 1))
(segment (start 17.502 -11.516) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8F07D))
(segment (start 17.502 -9.34) (end 17.502 -11.516) (width 0.25) (layer B.Cu) (net 1))
(segment (start 23.5879 -11.6939) (end 22.602 -11.6939) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8E9EC))
(segment (start 23.592 -11.698) (end 23.5879 -11.6939) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8E9EB))
(segment (start 24.2229 -12.3289) (end 23.592 -11.698) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EBC8))
(segment (start 23.592 -9.758) (end 23.592 -11.698) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EC18))
(segment (start 32.192 -7.498) (end 26.512 -1.818) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EFDE))
(segment (start 32.192 -9.588) (end 32.192 -7.498) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EFDC))
(segment (start 32.282 -9.678) (end 32.192 -9.588) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EFDB))
(via (at 32.282 -9.678) (size 0.6) (layers F.Cu B.Cu) (net 1))
(segment (start 32.092 -9.868) (end 32.282 -9.678) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EFC7))
(segment (start 25.32 -7.71654) (end 25.38576 -7.7823) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8F051))
(segment (start 25.32 -4.968) (end 25.32 -7.71654) (width 0.25) (layer B.Cu) (net 2))
(segment (start 34.752 -0.378) (end 34.752 -0.40556) (width 0.25) (layer B.Cu) (net 3) (status 30))
(segment (start 31.942 -3.188) (end 34.752 -0.378) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D4FB))
(segment (start 29.692 -3.188) (end 31.942 -3.188) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D4F9))
(segment (start 27.912 -4.968) (end 29.692 -3.188) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D4F7))
(segment (start 27.622 -4.968) (end 27.912 -4.968) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D502))
(segment (start 26.844 -4.968) (end 27.622 -4.968) (width 0.25) (layer B.Cu) (net 3))
(segment (start 27.092 -4.968) (end 26.844 -4.968) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D623))
(segment (start 26.844 -6.308) (end 27.342 -6.806) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8F048))
(segment (start 26.844 -4.968) (end 26.844 -6.308) (width 0.25) (layer B.Cu) (net 3))
(segment (start 27.2547 -8.4173) (end 27.342 -8.33) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D5D9) (status 30))
(segment (start 25.38576 -8.4173) (end 27.2547 -8.4173) (width 0.25) (layer B.Cu) (net 4) (status 20))
(segment (start 24.03238 -15.54038) (end 24.04 -15.548) (width 0.25) (layer B.Cu) (net 5) (tstamp 55A8C9FF) (status 30))
(segment (start 25.38576 -13.6243) (end 24.03238 -15.54038) (width 0.25) (layer B.Cu) (net 5) (status 20))
(segment (start 27.53438 -14.98762) (end 27.542 -14.98) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8CA07) (status 30))
(segment (start 27.542 -14.98) (end 26.132 -14.98) (width 0.25) (layer B.Cu) (net 6))
(segment (start 27.542 -14.98) (end 30.18 -14.98) (width 0.25) (layer B.Cu) (net 6))
(segment (start 30.9917 -14.1683) (end 30.992 -14.168) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8CA04) (status 30))
(segment (start 26.132 -14.98) (end 25.564 -15.548) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8E8A4))
(segment (start 30.18 -14.98) (end 30.992 -14.168) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8ECC9))
(segment (start 27.36674 -13.456) (end 26.63036 -12.9893) (width 0.25) (layer B.Cu) (net 7) (tstamp 55A8CA0C) (status 10))
(segment (start 27.542 -13.456) (end 27.36674 -13.456) (width 0.25) (layer B.Cu) (net 7) (status 30))
(segment (start 26.63036 -12.9893) (end 25.38576 -12.9893) (width 0.25) (layer B.Cu) (net 7) (tstamp 55A8CA1D))
(segment (start 17.65884 -12.96316) (end 17.542 -13.08) (width 0.25) (layer B.Cu) (net 8) (tstamp 55A8CCB1) (status 30))
(segment (start 17.6327 -12.9893) (end 17.542 -13.08) (width 0.25) (layer B.Cu) (net 8) (tstamp 55A8D55B))
(segment (start 20.05176 -12.9893) (end 17.6327 -12.9893) (width 0.25) (layer B.Cu) (net 8))
(segment (start 28.0819 -9.7381) (end 29.052 -8.768) (width 0.25) (layer B.Cu) (net 9) (tstamp 55A8D5DD))
(segment (start 29.052 -8.768) (end 29.052 -7.178) (width 0.25) (layer B.Cu) (net 9) (tstamp 55A8D5EB))
(segment (start 29.052 -7.178) (end 30.952 -5.278) (width 0.25) (layer B.Cu) (net 9) (tstamp 55A8D5EF))
(segment (start 25.38576 -9.7381) (end 28.0819 -9.7381) (width 0.25) (layer B.Cu) (net 9))
(segment (start 34.784785 -11.693695) (end 25.744925 -11.693695) (width 0.25) (layer B.Cu) (net 10) (tstamp 55A8CBD2))
(segment (start 34.792 -9.49462) (end 34.784785 -11.693695) (width 0.25) (layer B.Cu) (net 10) (tstamp 55A8CBD1) (status 10))
(segment (start 34.792 -9.268) (end 34.792 -9.49462) (width 0.25) (layer B.Cu) (net 10) (status 30))
(segment (start 18.91724 -9.7381) (end 20.05176 -9.7381) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8CDB0))
(segment (start 17.5357 -7.7823) (end 17.502 -7.816) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8ECE6))
(segment (start 20.05176 -7.7823) (end 17.5357 -7.7823) (width 0.25) (layer B.Cu) (net 11))
(segment (start 18.902 -8.41984) (end 18.902 -9.72286) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8CDA5))
(segment (start 18.902 -9.72286) (end 18.91724 -9.7381) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8CDAA))
(segment (start 18.89946 -8.4173) (end 18.902 -8.41984) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8CDA0))
(segment (start 20.04414 -7.78992) (end 20.05176 -7.7823) (width 0.25) (layer B.Cu) (net 12) (tstamp 55A8CB55))
(segment (start 20.05176 -7.7823) (end 20.05176 -8.4173) (width 0.25) (layer B.Cu) (net 13))
(segment (start 20.05176 -8.4173) (end 18.89946 -8.4173) (width 0.25) (layer B.Cu) (net 13))
(segment (start 20.05176 -11.6939) (end 22.602 -11.6939) (width 0.25) (layer B.Cu) (net 14))
(segment (start 21.4211 -12.3289) (end 21.672 -12.5798) (width 0.25) (layer B.Cu) (net 15) (tstamp 55A8F285))
(segment (start 20.05176 -12.3289) (end 21.4211 -12.3289) (width 0.25) (layer B.Cu) (net 15))
(segment (start 21.672 -14.67758) (end 20.96158 -15.388) (width 0.25) (layer B.Cu) (net 15) (tstamp 55A8F294))
(segment (start 21.672 -12.5798) (end 21.672 -14.67758) (width 0.25) (layer B.Cu) (net 15) (tstamp 55A8F28D))
(segment (start 25.38576 -10.3731) (end 28.438137 -10.3731) (width 0.25) (layer B.Cu) (net 16))
(segment (start 28.438137 -10.3731) (end 29.8869 -10.3731) (width 0.25) (layer B.Cu) (net 16))
(segment (start 20.32 -5.08) (end 25.6131 -10.3731) (width 0.25) (layer F.Cu) (net 16))
(segment (start 25.6131 -10.3731) (end 28.438137 -10.3731) (width 0.25) (layer F.Cu) (net 16))
(via (at 28.438137 -10.3731) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 16))
(segment (start 13.9065 -3.7211) (end 18.9611 -3.7211) (width 0.25) (layer B.Cu) (net 16))
(segment (start 18.9611 -3.7211) (end 20.32 -5.08) (width 0.25) (layer B.Cu) (net 16))
(via (at 20.32 -5.08) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 16))
(segment (start 10.16 -1.27) (end 10.16 -3.425) (width 0.25) (layer B.Cu) (net 16))
(segment (start 10.16 -3.425) (end 10.4561 -3.7211) (width 0.25) (layer B.Cu) (net 16))
(segment (start 10.4561 -3.7211) (end 12.90593 -3.7211) (width 0.25) (layer B.Cu) (net 16))
(segment (start 12.90593 -3.7211) (end 13.9065 -3.7211) (width 0.25) (layer B.Cu) (net 16))
(segment (start 5.16 -1.27) (end 10.16 -1.27) (width 0.25) (layer B.Cu) (net 16))
(segment (start 29.8869 -10.3731) (end 30.392 -9.868) (width 0.25) (layer B.Cu) (net 16) (tstamp 55A8EF0E))
(segment (start 30.392 -9.868) (end 31.022 -9.868) (width 0.25) (layer B.Cu) (net 16))
(segment (start 30.392 -9.868) (end 32.092 -9.868) (width 0.25) (layer B.Cu) (net 16))
(segment (start 5.16 -6.35) (end 10.16 -6.35) (width 0.25) (layer B.Cu) (net 17))
(segment (start 13.9065 -6.4389) (end 10.2489 -6.4389) (width 0.25) (layer B.Cu) (net 17))
(segment (start 10.2489 -6.4389) (end 10.16 -6.35) (width 0.25) (layer B.Cu) (net 17))
(segment (start 27.932 -11.028) (end 26.636999 -12.323001) (width 0.25) (layer F.Cu) (net 17))
(segment (start 26.636999 -12.323001) (end 18.288001 -12.323001) (width 0.25) (layer F.Cu) (net 17))
(segment (start 18.288001 -12.323001) (end 12.315 -6.35) (width 0.25) (layer F.Cu) (net 17))
(segment (start 12.315 -6.35) (end 10.16 -6.35) (width 0.25) (layer F.Cu) (net 17))
(segment (start 35.352 -4.678) (end 35.352 -5.478) (width 0.25) (layer B.Cu) (net 17) (status 30))
(segment (start 27.9265 -11.0335) (end 27.932 -11.028) (width 0.25) (layer B.Cu) (net 17) (tstamp 55A8D6CF))
(via (at 27.932 -11.028) (size 0.6) (layers F.Cu B.Cu) (net 17))
(segment (start 25.38576 -11.0335) (end 27.9265 -11.0335) (width 0.25) (layer B.Cu) (net 17))
(segment (start 27.932 -11.028) (end 27.962 -11.058) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6D3))
(segment (start 32.422 -11.058) (end 34.287 -9.193) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6DE))
(segment (start 27.962 -11.058) (end 32.422 -11.058) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6D4))
(segment (start 34.287 -9.193) (end 35.322 -8.158) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D77F))
(segment (start 35.322 -8.158) (end 35.322 -7.288) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6F7))
(segment (start 35.322 -7.288) (end 35.352 -7.258) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6FD))
(via (at 35.352 -7.258) (size 0.6) (layers F.Cu B.Cu) (net 17))
(segment (start 35.352 -7.258) (end 35.352 -4.678) (width 0.25) (layer B.Cu) (net 17) (tstamp 55A8D6FF))
(segment (start 20.05176 -10.3731) (end 20.839962 -10.3731) (width 0.25) (layer B.Cu) (net 18))
(segment (start 20.839962 -10.3731) (end 23.820001 -7.393061) (width 0.25) (layer B.Cu) (net 18))
(segment (start 23.820001 -7.393061) (end 23.820001 2.165001) (width 0.25) (layer B.Cu) (net 18))
(segment (start 23.820001 2.165001) (end 23.755001 2.230001) (width 0.25) (layer B.Cu) (net 18))
(segment (start 23.755001 2.230001) (end 17.165011 2.230001) (width 0.25) (layer B.Cu) (net 18))
(segment (start 17.165011 2.230001) (end 16.84001 1.905) (width 0.25) (layer B.Cu) (net 18))
(segment (start 16.84001 1.905) (end 15.24 1.905) (width 0.25) (layer B.Cu) (net 18))
(segment (start 20.05176 -9.0777) (end 20.93676 -9.0777) (width 0.25) (layer B.Cu) (net 19))
(segment (start 20.93676 -9.0777) (end 21.59 -8.42446) (width 0.25) (layer B.Cu) (net 19))
(segment (start 21.59 -8.42446) (end 21.59 -2.155) (width 0.25) (layer B.Cu) (net 19))
(segment (start 21.59 -2.155) (end 21.59 0) (width 0.25) (layer B.Cu) (net 19))
(segment (start 20.357 -0.948) (end 22.842 -0.948) (width 0.25) (layer B.Cu) (net 19))
(segment (start 22.842 -0.948) (end 22.822 -0.968) (width 0.25) (layer B.Cu) (net 19) (tstamp 55A8F01E))
 
(zone (net 1) (net_name GND) (layer B.Cu) (tstamp 55DAF2D2) (hatch edge 0.508)
(connect_pads yes (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy -1.27 -25.4) (xy 43.18 -25.4) (xy 43.18 11.43) (xy -1.27 11.43)
)
)
(filled_polygon
(pts
(xy 39.701 9.221) (xy 39.687715 9.221) (xy 39.687715 4.26269) (xy 39.687715 -21.13731) (xy 39.060741 -22.654704)
(xy 37.90081 -23.81666) (xy 36.384513 -24.446282) (xy 34.74269 -24.447715) (xy 33.225296 -23.820741) (xy 32.06334 -22.66081)
(xy 31.433718 -21.144513) (xy 31.432285 -19.50269) (xy 32.059259 -17.985296) (xy 33.21919 -16.82334) (xy 34.735487 -16.193718)
(xy 36.37731 -16.192285) (xy 37.894704 -16.819259) (xy 39.05666 -17.97919) (xy 39.686282 -19.495487) (xy 39.687715 -21.13731)
(xy 39.687715 4.26269) (xy 39.060741 2.745296) (xy 37.90081 1.58334) (xy 36.39325 0.957346) (xy 36.506927 0.882673)
(xy 36.649377 0.67164) (xy 36.69944 0.422) (xy 36.69944 -1.178) (xy 36.652463 -1.420123) (xy 36.512673 -1.632927)
(xy 36.30164 -1.775377) (xy 36.052 -1.82544) (xy 34.379362 -1.82544) (xy 32.479401 -3.725401) (xy 32.232839 -3.890148)
(xy 32.029676 -3.93056) (xy 32.252 -3.93056) (xy 32.494123 -3.977537) (xy 32.706927 -4.117327) (xy 32.849377 -4.32836)
(xy 32.89944 -4.578) (xy 32.89944 -5.978) (xy 32.852463 -6.220123) (xy 32.712673 -6.432927) (xy 32.50164 -6.575377)
(xy 32.252 -6.62544) (xy 30.679362 -6.62544) (xy 29.812 -7.492802) (xy 29.812 -7.92056) (xy 31.092 -7.92056)
(xy 31.334123 -7.967537) (xy 31.546927 -8.107327) (xy 31.689377 -8.31836) (xy 31.73944 -8.568) (xy 31.73944 -9.108)
(xy 31.793386 -9.108) (xy 31.991161 -8.975852) (xy 32.282 -8.918) (xy 32.57284 -8.975852) (xy 32.819401 -9.140599)
(xy 32.84456 -9.178253) (xy 32.84456 -8.568) (xy 32.891537 -8.325877) (xy 33.031327 -8.113073) (xy 33.24236 -7.970623)
(xy 33.492 -7.92056) (xy 34.692271 -7.92056) (xy 34.559808 -7.788327) (xy 34.417162 -7.444799) (xy 34.416838 -7.072833)
(xy 34.558883 -6.729057) (xy 34.592 -6.695882) (xy 34.592 -6.613799) (xy 34.409877 -6.578463) (xy 34.197073 -6.438673)
(xy 34.054623 -6.22764) (xy 34.00456 -5.978) (xy 34.00456 -3.378) (xy 34.051537 -3.135877) (xy 34.191327 -2.923073)
(xy 34.40236 -2.780623) (xy 34.652 -2.73056) (xy 36.052 -2.73056) (xy 36.294123 -2.777537) (xy 36.506927 -2.917327)
(xy 36.649377 -3.12836) (xy 36.69944 -3.378) (xy 36.69944 -5.978) (xy 36.652463 -6.220123) (xy 36.512673 -6.432927)
(xy 36.30164 -6.575377) (xy 36.112 -6.613408) (xy 36.112 -6.695538) (xy 36.144192 -6.727673) (xy 36.286838 -7.071201)
(xy 36.287162 -7.443167) (xy 36.145117 -7.786943) (xy 36.011732 -7.92056) (xy 36.092 -7.92056) (xy 36.334123 -7.967537)
(xy 36.546927 -8.107327) (xy 36.689377 -8.31836) (xy 36.73944 -8.568) (xy 36.73944 -9.968) (xy 36.692463 -10.210123)
(xy 36.552673 -10.422927) (xy 36.34164 -10.565377) (xy 36.092 -10.61544) (xy 35.548326 -10.61544) (xy 35.544781 -11.696188)
(xy 35.515621 -11.84031) (xy 35.486933 -11.984534) (xy 35.486226 -11.985591) (xy 35.485975 -11.986836) (xy 35.403895 -12.108809)
(xy 35.322186 -12.231096) (xy 35.321129 -12.231803) (xy 35.32042 -12.232856) (xy 35.19794 -12.314114) (xy 35.075624 -12.395843)
(xy 35.074377 -12.396092) (xy 35.073319 -12.396793) (xy 34.929036 -12.425002) (xy 34.784785 -12.453695) (xy 27.204972 -12.453695)
(xy 27.263929 -12.49106) (xy 28.1135 -12.49106) (xy 28.355623 -12.538037) (xy 28.568427 -12.677827) (xy 28.710877 -12.88886)
(xy 28.76094 -13.1385) (xy 28.76094 -13.7735) (xy 28.713963 -14.015623) (xy 28.580194 -14.219261) (xy 28.580694 -14.22)
(xy 29.04456 -14.22) (xy 29.04456 -13.368) (xy 29.091537 -13.125877) (xy 29.231327 -12.913073) (xy 29.44236 -12.770623)
(xy 29.692 -12.72056) (xy 32.292 -12.72056) (xy 32.534123 -12.767537) (xy 32.746927 -12.907327) (xy 32.889377 -13.11836)
(xy 32.93944 -13.368) (xy 32.93944 -14.968) (xy 32.892463 -15.210123) (xy 32.752673 -15.422927) (xy 32.54164 -15.565377)
(xy 32.292 -15.61544) (xy 30.570674 -15.61544) (xy 30.470839 -15.682148) (xy 30.18 -15.74) (xy 28.582336 -15.74)
(xy 28.574173 -15.752427) (xy 28.36314 -15.894877) (xy 28.1135 -15.94494) (xy 26.9705 -15.94494) (xy 26.728377 -15.897963)
(xy 26.52894 -15.766954) (xy 26.52894 -16.1195) (xy 26.481963 -16.361623) (xy 26.342173 -16.574427) (xy 26.13114 -16.716877)
(xy 25.8815 -16.76694) (xy 25.2465 -16.76694) (xy 25.004377 -16.719963) (xy 24.800739 -16.586195) (xy 24.60714 -16.716877)
(xy 24.3575 -16.76694) (xy 24.26944 -16.76694) (xy 24.26944 -19.558) (xy 24.26944 -21.082) (xy 24.222463 -21.324123)
(xy 24.082673 -21.536927) (xy 24.004458 -21.589723) (xy 24.076927 -21.637327) (xy 24.219377 -21.84836) (xy 24.26944 -22.098)
(xy 24.26944 -23.622) (xy 24.222463 -23.864123) (xy 24.082673 -24.076927) (xy 23.87164 -24.219377) (xy 23.622 -24.26944)
(xy 22.098 -24.26944) (xy 21.855877 -24.222463) (xy 21.643073 -24.082673) (xy 21.500623 -23.87164) (xy 21.45056 -23.622)
(xy 21.45056 -22.098) (xy 21.497537 -21.855877) (xy 21.637327 -21.643073) (xy 21.715541 -21.590278) (xy 21.643073 -21.542673)
(xy 21.500623 -21.33164) (xy 21.45056 -21.082) (xy 21.45056 -19.558) (xy 21.497537 -19.315877) (xy 21.637327 -19.103073)
(xy 21.84836 -18.960623) (xy 22.098 -18.91056) (xy 23.622 -18.91056) (xy 23.864123 -18.957537) (xy 24.076927 -19.097327)
(xy 24.219377 -19.30836) (xy 24.26944 -19.558) (xy 24.26944 -16.76694) (xy 23.7225 -16.76694) (xy 23.480377 -16.719963)
(xy 23.267573 -16.580173) (xy 23.125123 -16.36914) (xy 23.07506 -16.1195) (xy 23.07506 -14.9765) (xy 23.122037 -14.734377)
(xy 23.261827 -14.521573) (xy 23.47286 -14.379123) (xy 23.7225 -14.32906) (xy 23.957504 -14.32906) (xy 24.149415 -14.057357)
(xy 24.10332 -13.8275) (xy 24.10332 -13.4211) (xy 24.125863 -13.304912) (xy 24.10332 -13.1925) (xy 24.10332 -12.7861)
(xy 24.150297 -12.543977) (xy 24.284065 -12.34034) (xy 24.153383 -12.14674) (xy 24.10332 -11.8971) (xy 24.10332 -11.4907)
(xy 24.128367 -11.361602) (xy 24.10332 -11.2367) (xy 24.10332 -10.8303) (xy 24.128367 -10.701202) (xy 24.10332 -10.5763)
(xy 24.10332 -10.1699) (xy 24.125863 -10.053712) (xy 24.10332 -9.9413) (xy 24.10332 -9.5349) (xy 24.150297 -9.292777)
(xy 24.290087 -9.079973) (xy 24.293477 -9.077685) (xy 24.153383 -8.87014) (xy 24.10332 -8.6205) (xy 24.10332 -8.2141)
(xy 24.125863 -8.097912) (xy 24.10332 -7.9855) (xy 24.10332 -7.5791) (xy 24.150297 -7.336977) (xy 24.290087 -7.124173)
(xy 24.50112 -6.981723) (xy 24.56 -6.969915) (xy 24.56 -6.008337) (xy 24.547573 -6.000173) (xy 24.405123 -5.78914)
(xy 24.35506 -5.5395) (xy 24.35506 -4.3965) (xy 24.402037 -4.154377) (xy 24.541827 -3.941573) (xy 24.75286 -3.799123)
(xy 25.0025 -3.74906) (xy 25.6375 -3.74906) (xy 25.879623 -3.796037) (xy 26.08326 -3.929806) (xy 26.27686 -3.799123)
(xy 26.5265 -3.74906) (xy 27.1615 -3.74906) (xy 27.403623 -3.796037) (xy 27.616427 -3.935827) (xy 27.71836 -4.086838)
(xy 29.154599 -2.650599) (xy 29.401161 -2.485852) (xy 29.692 -2.428) (xy 31.627198 -2.428) (xy 32.816693 -1.238505)
(xy 32.80456 -1.178) (xy 32.80456 0.422) (xy 32.851537 0.664123) (xy 32.991327 0.876927) (xy 33.20236 1.019377)
(xy 33.452 1.06944) (xy 34.459153 1.06944) (xy 33.225296 1.579259) (xy 32.06334 2.73919) (xy 31.433718 4.255487)
(xy 31.432285 5.89731) (xy 32.059259 7.414704) (xy 33.21919 8.57666) (xy 34.735487 9.206282) (xy 36.37731 9.207715)
(xy 37.894704 8.580741) (xy 39.05666 7.42081) (xy 39.686282 5.904513) (xy 39.687715 4.26269) (xy 39.687715 9.221)
(xy 24.14244 9.221) (xy 24.14244 6.905) (xy 24.14244 3.095) (xy 24.095463 2.852877) (xy 23.955673 2.640073)
(xy 23.746818 2.499093) (xy 23.949927 2.365673) (xy 24.092377 2.15464) (xy 24.14244 1.905) (xy 24.14244 -1.905)
(xy 24.095463 -2.147123) (xy 23.955673 -2.359927) (xy 23.74464 -2.502377) (xy 23.582 -2.534993) (xy 23.582 -9.198)
(xy 23.524148 -9.488839) (xy 23.524148 -9.48884) (xy 23.359401 -9.735401) (xy 22.184301 -10.910501) (xy 22.149281 -10.9339)
(xy 22.602 -10.9339) (xy 22.892839 -10.991752) (xy 23.139401 -11.156499) (xy 23.304148 -11.403061) (xy 23.362 -11.6939)
(xy 23.304148 -11.984739) (xy 23.139401 -12.231301) (xy 22.892839 -12.396048) (xy 22.602 -12.4539) (xy 22.406956 -12.4539)
(xy 22.432 -12.5798) (xy 22.432 -14.67758) (xy 22.374148 -14.968419) (xy 22.209401 -15.214981) (xy 21.80841 -15.615972)
(xy 21.80841 -15.68772) (xy 21.761433 -15.929843) (xy 21.621643 -16.142647) (xy 21.41061 -16.285097) (xy 21.16097 -16.33516)
(xy 20.76219 -16.33516) (xy 20.520067 -16.288183) (xy 20.307263 -16.148393) (xy 20.164813 -15.93736) (xy 20.11475 -15.68772)
(xy 20.11475 -15.08828) (xy 20.161727 -14.846157) (xy 20.301517 -14.633353) (xy 20.51255 -14.490903) (xy 20.76219 -14.44084)
(xy 20.833938 -14.44084) (xy 20.912 -14.362778) (xy 20.912 -13.79477) (xy 20.68676 -13.83994) (xy 19.41676 -13.83994)
(xy 19.18944 -13.795835) (xy 19.18944 -19.558) (xy 19.18944 -21.082) (xy 19.142463 -21.324123) (xy 19.002673 -21.536927)
(xy 18.924458 -21.589723) (xy 18.996927 -21.637327) (xy 19.139377 -21.84836) (xy 19.18944 -22.098) (xy 19.18944 -23.622)
(xy 19.142463 -23.864123) (xy 19.002673 -24.076927) (xy 18.79164 -24.219377) (xy 18.542 -24.26944) (xy 17.018 -24.26944)
(xy 16.775877 -24.222463) (xy 16.563073 -24.082673) (xy 16.510277 -24.004459) (xy 16.462673 -24.076927) (xy 16.25164 -24.219377)
(xy 16.002 -24.26944) (xy 14.478 -24.26944) (xy 14.235877 -24.222463) (xy 14.023073 -24.082673) (xy 13.880623 -23.87164)
(xy 13.83056 -23.622) (xy 13.83056 -22.098) (xy 13.877537 -21.855877) (xy 14.017327 -21.643073) (xy 14.095541 -21.590278)
(xy 14.023073 -21.542673) (xy 13.880623 -21.33164) (xy 13.83056 -21.082) (xy 13.83056 -19.558) (xy 13.877537 -19.315877)
(xy 14.017327 -19.103073) (xy 14.22836 -18.960623) (xy 14.478 -18.91056) (xy 16.002 -18.91056) (xy 16.244123 -18.957537)
(xy 16.456927 -19.097327) (xy 16.509722 -19.175542) (xy 16.557327 -19.103073) (xy 16.76836 -18.960623) (xy 17.018 -18.91056)
(xy 18.542 -18.91056) (xy 18.784123 -18.957537) (xy 18.996927 -19.097327) (xy 19.139377 -19.30836) (xy 19.18944 -19.558)
(xy 19.18944 -13.795835) (xy 19.174637 -13.792963) (xy 19.108168 -13.7493) (xy 18.641916 -13.7493) (xy 18.574173 -13.852427)
(xy 18.36314 -13.994877) (xy 18.1135 -14.04494) (xy 16.9705 -14.04494) (xy 16.728377 -13.997963) (xy 16.515573 -13.858173)
(xy 16.373123 -13.64714) (xy 16.32306 -13.3975) (xy 16.32306 -12.7625) (xy 16.370037 -12.520377) (xy 16.509827 -12.307573)
(xy 16.72086 -12.165123) (xy 16.9705 -12.11506) (xy 18.1135 -12.11506) (xy 18.355623 -12.162037) (xy 18.458018 -12.2293)
(xy 18.76932 -12.2293) (xy 18.76932 -12.1257) (xy 18.791863 -12.009512) (xy 18.76932 -11.8971) (xy 18.76932 -11.4907)
(xy 18.794367 -11.361602) (xy 18.775415 -11.267098) (xy 18.772327 -11.270192) (xy 18.428799 -11.412838) (xy 18.056833 -11.413162)
(xy 17.713057 -11.271117) (xy 17.449808 -11.008327) (xy 17.307162 -10.664799) (xy 17.306838 -10.292833) (xy 17.448883 -9.949057)
(xy 17.711673 -9.685808) (xy 18.055201 -9.543162) (xy 18.142 -9.543087) (xy 18.142 -8.767203) (xy 18.0735 -8.78094)
(xy 16.9305 -8.78094) (xy 16.688377 -8.733963) (xy 16.475573 -8.594173) (xy 16.333123 -8.38314) (xy 16.28306 -8.1335)
(xy 16.28306 -7.4985) (xy 16.330037 -7.256377) (xy 16.469827 -7.043573) (xy 16.68086 -6.901123) (xy 16.9305 -6.85106)
(xy 18.0735 -6.85106) (xy 18.315623 -6.898037) (xy 18.50479 -7.0223) (xy 19.107007 -7.0223) (xy 19.16712 -6.981723)
(xy 19.41676 -6.93166) (xy 20.68676 -6.93166) (xy 20.928883 -6.978637) (xy 21.141687 -7.118427) (xy 21.212 -7.222593)
(xy 21.212 -6.27744) (xy 20.56 -6.27744) (xy 20.317877 -6.230463) (xy 20.105073 -6.090673) (xy 19.962623 -5.87964)
(xy 19.91256 -5.63) (xy 19.91256 -4.106) (xy 19.959537 -3.863877) (xy 20.099327 -3.651073) (xy 20.31036 -3.508623)
(xy 20.56 -3.45856) (xy 22.062 -3.45856) (xy 22.062 -2.55244) (xy 19.685 -2.55244) (xy 19.442877 -2.505463)
(xy 19.230073 -2.365673) (xy 19.087623 -2.15464) (xy 19.03756 -1.905) (xy 19.03756 1.905) (xy 19.084537 2.147123)
(xy 19.224327 2.359927) (xy 19.433181 2.500906) (xy 19.230073 2.634327) (xy 19.087623 2.84536) (xy 19.03756 3.095)
(xy 19.03756 6.905) (xy 19.084537 7.147123) (xy 19.224327 7.359927) (xy 19.43536 7.502377) (xy 19.685 7.55244)
(xy 23.495 7.55244) (xy 23.737123 7.505463) (xy 23.949927 7.365673) (xy 24.092377 7.15464) (xy 24.14244 6.905)
(xy 24.14244 9.221) (xy 17.23745 9.221) (xy 17.23745 3.18008) (xy 17.23745 0.62992) (xy 17.190473 0.387797)
(xy 17.050683 0.174993) (xy 16.83965 0.032543) (xy 16.59001 -0.01752) (xy 16.307162 -0.01752) (xy 16.307162 -4.703167)
(xy 16.165117 -5.046943) (xy 15.902327 -5.310192) (xy 15.897162 -5.312336) (xy 15.897162 -10.783167) (xy 15.755117 -11.126943)
(xy 15.492327 -11.390192) (xy 15.412 -11.423547) (xy 15.412 -12.368) (xy 15.354148 -12.658839) (xy 15.189401 -12.905401)
(xy 14.942839 -13.070148) (xy 14.652 -13.128) (xy 14.361161 -13.070148) (xy 14.114599 -12.905401) (xy 13.949852 -12.658839)
(xy 13.892 -12.368) (xy 13.892 -10.558) (xy 13.949852 -10.267161) (xy 14.114599 -10.020599) (xy 14.361161 -9.855852)
(xy 14.386809 -9.850751) (xy 14.431673 -9.805808) (xy 14.775201 -9.663162) (xy 15.147167 -9.662838) (xy 15.490943 -9.804883)
(xy 15.754192 -10.067673) (xy 15.896838 -10.411201) (xy 15.897162 -10.783167) (xy 15.897162 -5.312336) (xy 15.558799 -5.452838)
(xy 15.432 -5.452949) (xy 15.432 -6.328) (xy 15.374148 -6.618839) (xy 15.30451 -6.723059) (xy 15.30451 -7.41426)
(xy 15.257533 -7.656383) (xy 15.117743 -7.869187) (xy 14.90671 -8.011637) (xy 14.65707 -8.0617) (xy 13.15593 -8.0617)
(xy 12.913807 -8.014723) (xy 12.83944 -7.965871) (xy 12.83944 -17.018) (xy 12.83944 -18.542) (xy 12.792463 -18.784123)
(xy 12.652673 -18.996927) (xy 12.574458 -19.049723) (xy 12.646927 -19.097327) (xy 12.789377 -19.30836) (xy 12.83944 -19.558)
(xy 12.83944 -21.082) (xy 12.792463 -21.324123) (xy 12.652673 -21.536927) (xy 12.44164 -21.679377) (xy 12.192 -21.72944)
(xy 10.668 -21.72944) (xy 10.425877 -21.682463) (xy 10.213073 -21.542673) (xy 10.070623 -21.33164) (xy 10.02056 -21.082)
(xy 10.02056 -19.558) (xy 10.067537 -19.315877) (xy 10.207327 -19.103073) (xy 10.285541 -19.050278) (xy 10.213073 -19.002673)
(xy 10.070623 -18.79164) (xy 10.02056 -18.542) (xy 10.02056 -17.018) (xy 10.067537 -16.775877) (xy 10.207327 -16.563073)
(xy 10.41836 -16.420623) (xy 10.668 -16.37056) (xy 12.192 -16.37056) (xy 12.434123 -16.417537) (xy 12.646927 -16.557327)
(xy 12.789377 -16.76836) (xy 12.83944 -17.018) (xy 12.83944 -7.965871) (xy 12.71244 -7.882446) (xy 12.71244 -9.525)
(xy 12.665463 -9.767123) (xy 12.525673 -9.979927) (xy 12.31464 -10.122377) (xy 12.065 -10.17244) (xy 9.207715 -10.17244)
(xy 9.207715 -21.13731) (xy 8.580741 -22.654704) (xy 7.42081 -23.81666) (xy 5.904513 -24.446282) (xy 4.26269 -24.447715)
(xy 2.745296 -23.820741) (xy 1.58334 -22.66081) (xy 0.953718 -21.144513) (xy 0.952285 -19.50269) (xy 1.579259 -17.985296)
(xy 2.73919 -16.82334) (xy 4.255487 -16.193718) (xy 5.89731 -16.192285) (xy 7.414704 -16.819259) (xy 8.57666 -17.97919)
(xy 9.206282 -19.495487) (xy 9.207715 -21.13731) (xy 9.207715 -10.17244) (xy 8.255 -10.17244) (xy 8.012877 -10.125463)
(xy 7.800073 -9.985673) (xy 7.659093 -9.776819) (xy 7.525673 -9.979927) (xy 7.31464 -10.122377) (xy 7.065 -10.17244)
(xy 3.255 -10.17244) (xy 3.012877 -10.125463) (xy 2.800073 -9.985673) (xy 2.657623 -9.77464) (xy 2.60756 -9.525)
(xy 2.60756 -5.715) (xy 2.654537 -5.472877) (xy 2.794327 -5.260073) (xy 3.00536 -5.117623) (xy 3.191942 -5.080206)
(xy 3.012877 -5.045463) (xy 2.800073 -4.905673) (xy 2.657623 -4.69464) (xy 2.60756 -4.445) (xy 2.60756 -0.635)
(xy 2.654537 -0.392877) (xy 2.794327 -0.180073) (xy 3.00536 -0.037623) (xy 3.255 0.01244) (xy 7.065 0.01244)
(xy 7.307123 -0.034537) (xy 7.519927 -0.174327) (xy 7.660906 -0.383182) (xy 7.794327 -0.180073) (xy 8.00536 -0.037623)
(xy 8.255 0.01244) (xy 12.065 0.01244) (xy 12.307123 -0.034537) (xy 12.519927 -0.174327) (xy 12.662377 -0.38536)
(xy 12.71244 -0.635) (xy 12.71244 -2.279214) (xy 12.90629 -2.148363) (xy 13.15593 -2.0983) (xy 13.983896 -2.0983)
(xy 14.058399 -1.986799) (xy 14.304961 -1.822052) (xy 14.5958 -1.7642) (xy 14.886639 -1.822052) (xy 15.133201 -1.986799)
(xy 15.145901 -1.999499) (xy 15.209401 -2.062999) (xy 15.374148 -2.309561) (xy 15.432 -2.6004) (xy 15.432 -3.582948)
(xy 15.557167 -3.582838) (xy 15.900943 -3.724883) (xy 16.164192 -3.987673) (xy 16.306838 -4.331201) (xy 16.307162 -4.703167)
(xy 16.307162 -0.01752) (xy 13.88999 -0.01752) (xy 13.647867 0.029457) (xy 13.435063 0.169247) (xy 13.292613 0.38028)
(xy 13.24255 0.62992) (xy 13.24255 3.18008) (xy 13.289527 3.422203) (xy 13.429317 3.635007) (xy 13.64035 3.777457)
(xy 13.88999 3.82752) (xy 16.59001 3.82752) (xy 16.832133 3.780543) (xy 17.044937 3.640753) (xy 17.187387 3.42972)
(xy 17.23745 3.18008) (xy 17.23745 9.221) (xy 9.207715 9.221) (xy 9.207715 4.26269) (xy 8.580741 2.745296)
(xy 7.42081 1.58334) (xy 5.904513 0.953718) (xy 4.26269 0.952285) (xy 2.745296 1.579259) (xy 1.58334 2.73919)
(xy 0.953718 4.255487) (xy 0.952285 5.89731) (xy 1.579259 7.414704) (xy 2.73919 8.57666) (xy 4.255487 9.206282)
(xy 5.89731 9.207715) (xy 7.414704 8.580741) (xy 8.57666 7.42081) (xy 9.206282 5.904513) (xy 9.207715 4.26269)
(xy 9.207715 9.221) (xy 0.939 9.221) (xy 0.939 -24.461) (xy 39.701 -24.461) (xy 39.701 9.221)
)
)
)
(zone (net 1) (net_name GND) (layer F.Cu) (tstamp 55DAF2F0) (hatch edge 0.508)
(connect_pads yes (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy -2.54 -25.4) (xy 46.99 -25.4) (xy 46.99 12.7) (xy -2.54 12.7)
)
)
(filled_polygon
(pts
(xy 39.701 9.221) (xy 39.687715 9.221) (xy 39.687715 4.26269) (xy 39.687715 -21.13731) (xy 39.060741 -22.654704)
(xy 37.90081 -23.81666) (xy 36.384513 -24.446282) (xy 34.74269 -24.447715) (xy 33.225296 -23.820741) (xy 32.06334 -22.66081)
(xy 31.433718 -21.144513) (xy 31.432285 -19.50269) (xy 32.059259 -17.985296) (xy 33.21919 -16.82334) (xy 34.735487 -16.193718)
(xy 36.37731 -16.192285) (xy 37.894704 -16.819259) (xy 39.05666 -17.97919) (xy 39.686282 -19.495487) (xy 39.687715 -21.13731)
(xy 39.687715 4.26269) (xy 39.060741 2.745296) (xy 37.90081 1.58334) (xy 36.384513 0.953718) (xy 36.287162 0.953633)
(xy 36.287162 -7.443167) (xy 36.145117 -7.786943) (xy 36.082 -7.85017) (xy 36.082 -8.158) (xy 36.024148 -8.448839)
(xy 35.859401 -8.695401) (xy 34.824401 -9.730401) (xy 32.959401 -11.595401) (xy 32.712839 -11.760148) (xy 32.422 -11.818)
(xy 28.464515 -11.818) (xy 28.462327 -11.820192) (xy 28.118799 -11.962838) (xy 28.071923 -11.962879) (xy 26.809401 -13.225401)
(xy 26.562839 -13.390148) (xy 26.272 -13.448) (xy 24.26944 -13.448) (xy 24.26944 -19.558) (xy 24.26944 -21.082)
(xy 24.222463 -21.324123) (xy 24.082673 -21.536927) (xy 24.004458 -21.589723) (xy 24.076927 -21.637327) (xy 24.219377 -21.84836)
(xy 24.26944 -22.098) (xy 24.26944 -23.622) (xy 24.222463 -23.864123) (xy 24.082673 -24.076927) (xy 23.87164 -24.219377)
(xy 23.622 -24.26944) (xy 22.098 -24.26944) (xy 21.855877 -24.222463) (xy 21.643073 -24.082673) (xy 21.500623 -23.87164)
(xy 21.45056 -23.622) (xy 21.45056 -22.098) (xy 21.497537 -21.855877) (xy 21.637327 -21.643073) (xy 21.715541 -21.590278)
(xy 21.643073 -21.542673) (xy 21.500623 -21.33164) (xy 21.45056 -21.082) (xy 21.45056 -19.558) (xy 21.497537 -19.315877)
(xy 21.637327 -19.103073) (xy 21.84836 -18.960623) (xy 22.098 -18.91056) (xy 23.622 -18.91056) (xy 23.864123 -18.957537)
(xy 24.076927 -19.097327) (xy 24.219377 -19.30836) (xy 24.26944 -19.558) (xy 24.26944 -13.448) (xy 20.992 -13.448)
(xy 20.701161 -13.390148) (xy 20.454599 -13.225401) (xy 19.18944 -11.960242) (xy 19.18944 -19.558) (xy 19.18944 -21.082)
(xy 19.142463 -21.324123) (xy 19.002673 -21.536927) (xy 18.924458 -21.589723) (xy 18.996927 -21.637327) (xy 19.139377 -21.84836)
(xy 19.18944 -22.098) (xy 19.18944 -23.622) (xy 19.142463 -23.864123) (xy 19.002673 -24.076927) (xy 18.79164 -24.219377)
(xy 18.542 -24.26944) (xy 17.018 -24.26944) (xy 16.775877 -24.222463) (xy 16.563073 -24.082673) (xy 16.510277 -24.004459)
(xy 16.462673 -24.076927) (xy 16.25164 -24.219377) (xy 16.002 -24.26944) (xy 14.478 -24.26944) (xy 14.235877 -24.222463)
(xy 14.023073 -24.082673) (xy 13.880623 -23.87164) (xy 13.83056 -23.622) (xy 13.83056 -22.098) (xy 13.877537 -21.855877)
(xy 14.017327 -21.643073) (xy 14.095541 -21.590278) (xy 14.023073 -21.542673) (xy 13.880623 -21.33164) (xy 13.83056 -21.082)
(xy 13.83056 -19.558) (xy 13.877537 -19.315877) (xy 14.017327 -19.103073) (xy 14.22836 -18.960623) (xy 14.478 -18.91056)
(xy 16.002 -18.91056) (xy 16.244123 -18.957537) (xy 16.456927 -19.097327) (xy 16.509722 -19.175542) (xy 16.557327 -19.103073)
(xy 16.76836 -18.960623) (xy 17.018 -18.91056) (xy 18.542 -18.91056) (xy 18.784123 -18.957537) (xy 18.996927 -19.097327)
(xy 19.139377 -19.30836) (xy 19.18944 -19.558) (xy 19.18944 -11.960242) (xy 19.014599 -11.785401) (xy 18.849852 -11.538839)
(xy 18.792412 -11.250072) (xy 18.772327 -11.270192) (xy 18.428799 -11.412838) (xy 18.056833 -11.413162) (xy 17.713057 -11.271117)
(xy 17.679882 -11.238) (xy 15.644253 -11.238) (xy 15.492327 -11.390192) (xy 15.148799 -11.532838) (xy 14.776833 -11.533162)
(xy 14.433057 -11.391117) (xy 14.169808 -11.128327) (xy 14.027162 -10.784799) (xy 14.026838 -10.412833) (xy 14.168883 -10.069057)
(xy 14.431673 -9.805808) (xy 14.775201 -9.663162) (xy 15.147167 -9.662838) (xy 15.280669 -9.718) (xy 17.679537 -9.718)
(xy 17.711673 -9.685808) (xy 18.055201 -9.543162) (xy 18.427167 -9.542838) (xy 18.770943 -9.684883) (xy 18.792 -9.705904)
(xy 18.792 -9.012802) (xy 15.23232 -5.453123) (xy 15.186833 -5.453162) (xy 14.843057 -5.311117) (xy 14.579808 -5.048327)
(xy 14.437162 -4.704799) (xy 14.436838 -4.332833) (xy 14.578883 -3.989057) (xy 14.841673 -3.725808) (xy 15.185201 -3.583162)
(xy 15.557167 -3.582838) (xy 15.900943 -3.724883) (xy 16.164192 -3.987673) (xy 16.306838 -4.331201) (xy 16.306878 -4.378077)
(xy 20.089401 -8.160599) (xy 20.254148 -8.407161) (xy 20.312 -8.698) (xy 20.312 -10.933198) (xy 21.306802 -11.928)
(xy 25.957198 -11.928) (xy 26.996877 -10.888321) (xy 26.996838 -10.842833) (xy 27.138883 -10.499057) (xy 27.401673 -10.235808)
(xy 27.745201 -10.093162) (xy 28.117167 -10.092838) (xy 28.460943 -10.234883) (xy 28.52417 -10.298) (xy 32.107198 -10.298)
(xy 33.749599 -8.655599) (xy 34.562 -7.843198) (xy 34.562 -7.790516) (xy 34.559808 -7.788327) (xy 34.417162 -7.444799)
(xy 34.416838 -7.072833) (xy 34.558883 -6.729057) (xy 34.821673 -6.465808) (xy 35.165201 -6.323162) (xy 35.537167 -6.322838)
(xy 35.880943 -6.464883) (xy 36.144192 -6.727673) (xy 36.286838 -7.071201) (xy 36.287162 -7.443167) (xy 36.287162 0.953633)
(xy 34.74269 0.952285) (xy 33.225296 1.579259) (xy 32.06334 2.73919) (xy 31.433718 4.255487) (xy 31.432285 5.89731)
(xy 32.059259 7.414704) (xy 33.21919 8.57666) (xy 34.735487 9.206282) (xy 36.37731 9.207715) (xy 37.894704 8.580741)
(xy 39.05666 7.42081) (xy 39.686282 5.904513) (xy 39.687715 4.26269) (xy 39.687715 9.221) (xy 24.812 9.221)
(xy 24.812 0.212) (xy 24.754148 -0.078839) (xy 24.589401 -0.325401) (xy 24.342839 -0.490148) (xy 24.14244 -0.530011)
(xy 24.14244 -1.905) (xy 24.095463 -2.147123) (xy 23.955673 -2.359927) (xy 23.74464 -2.502377) (xy 23.495 -2.55244)
(xy 22.73144 -2.55244) (xy 22.73144 -4.106) (xy 22.73144 -5.63) (xy 22.684463 -5.872123) (xy 22.544673 -6.084927)
(xy 22.33364 -6.227377) (xy 22.084 -6.27744) (xy 20.56 -6.27744) (xy 20.317877 -6.230463) (xy 20.105073 -6.090673)
(xy 19.962623 -5.87964) (xy 19.91256 -5.63) (xy 19.91256 -4.106) (xy 19.959537 -3.863877) (xy 20.099327 -3.651073)
(xy 20.31036 -3.508623) (xy 20.56 -3.45856) (xy 22.084 -3.45856) (xy 22.326123 -3.505537) (xy 22.538927 -3.645327)
(xy 22.681377 -3.85636) (xy 22.73144 -4.106) (xy 22.73144 -2.55244) (xy 19.685 -2.55244) (xy 19.442877 -2.505463)
(xy 19.230073 -2.365673) (xy 19.087623 -2.15464) (xy 19.03756 -1.905) (xy 19.03756 1.905) (xy 19.084537 2.147123)
(xy 19.224327 2.359927) (xy 19.433181 2.500906) (xy 19.230073 2.634327) (xy 19.087623 2.84536) (xy 19.03756 3.095)
(xy 19.03756 6.905) (xy 19.084537 7.147123) (xy 19.224327 7.359927) (xy 19.43536 7.502377) (xy 19.685 7.55244)
(xy 23.495 7.55244) (xy 23.737123 7.505463) (xy 23.949927 7.365673) (xy 24.092377 7.15464) (xy 24.14244 6.905)
(xy 24.14244 3.095) (xy 24.095463 2.852877) (xy 23.955673 2.640073) (xy 23.746818 2.499093) (xy 23.949927 2.365673)
(xy 24.092377 2.15464) (xy 24.14244 1.905) (xy 24.14244 0.95401) (xy 24.342839 0.914148) (xy 24.589401 0.749401)
(xy 24.754148 0.502839) (xy 24.812 0.212) (xy 24.812 9.221) (xy 12.83944 9.221) (xy 12.83944 -17.018)
(xy 12.83944 -18.542) (xy 12.792463 -18.784123) (xy 12.652673 -18.996927) (xy 12.574458 -19.049723) (xy 12.646927 -19.097327)
(xy 12.789377 -19.30836) (xy 12.83944 -19.558) (xy 12.83944 -21.082) (xy 12.792463 -21.324123) (xy 12.652673 -21.536927)
(xy 12.44164 -21.679377) (xy 12.192 -21.72944) (xy 10.668 -21.72944) (xy 10.425877 -21.682463) (xy 10.213073 -21.542673)
(xy 10.070623 -21.33164) (xy 10.02056 -21.082) (xy 10.02056 -19.558) (xy 10.067537 -19.315877) (xy 10.207327 -19.103073)
(xy 10.285541 -19.050278) (xy 10.213073 -19.002673) (xy 10.070623 -18.79164) (xy 10.02056 -18.542) (xy 10.02056 -17.018)
(xy 10.067537 -16.775877) (xy 10.207327 -16.563073) (xy 10.41836 -16.420623) (xy 10.668 -16.37056) (xy 12.192 -16.37056)
(xy 12.434123 -16.417537) (xy 12.646927 -16.557327) (xy 12.789377 -16.76836) (xy 12.83944 -17.018) (xy 12.83944 9.221)
(xy 12.71244 9.221) (xy 12.71244 -0.635) (xy 12.71244 -4.445) (xy 12.665463 -4.687123) (xy 12.525673 -4.899927)
(xy 12.31464 -5.042377) (xy 12.128057 -5.079795) (xy 12.307123 -5.114537) (xy 12.519927 -5.254327) (xy 12.662377 -5.46536)
(xy 12.71244 -5.715) (xy 12.71244 -9.525) (xy 12.665463 -9.767123) (xy 12.525673 -9.979927) (xy 12.31464 -10.122377)
(xy 12.065 -10.17244) (xy 9.207715 -10.17244) (xy 9.207715 -21.13731) (xy 8.580741 -22.654704) (xy 7.42081 -23.81666)
(xy 5.904513 -24.446282) (xy 4.26269 -24.447715) (xy 2.745296 -23.820741) (xy 1.58334 -22.66081) (xy 0.953718 -21.144513)
(xy 0.952285 -19.50269) (xy 1.579259 -17.985296) (xy 2.73919 -16.82334) (xy 4.255487 -16.193718) (xy 5.89731 -16.192285)
(xy 7.414704 -16.819259) (xy 8.57666 -17.97919) (xy 9.206282 -19.495487) (xy 9.207715 -21.13731) (xy 9.207715 -10.17244)
(xy 8.255 -10.17244) (xy 8.012877 -10.125463) (xy 7.800073 -9.985673) (xy 7.659093 -9.776819) (xy 7.525673 -9.979927)
(xy 7.31464 -10.122377) (xy 7.065 -10.17244) (xy 3.255 -10.17244) (xy 3.012877 -10.125463) (xy 2.800073 -9.985673)
(xy 2.657623 -9.77464) (xy 2.60756 -9.525) (xy 2.60756 -5.715) (xy 2.654537 -5.472877) (xy 2.794327 -5.260073)
(xy 3.00536 -5.117623) (xy 3.191942 -5.080206) (xy 3.012877 -5.045463) (xy 2.800073 -4.905673) (xy 2.657623 -4.69464)
(xy 2.60756 -4.445) (xy 2.60756 -0.635) (xy 2.654537 -0.392877) (xy 2.794327 -0.180073) (xy 3.00536 -0.037623)
(xy 3.255 0.01244) (xy 7.065 0.01244) (xy 7.307123 -0.034537) (xy 7.519927 -0.174327) (xy 7.660906 -0.383182)
(xy 7.794327 -0.180073) (xy 8.00536 -0.037623) (xy 8.255 0.01244) (xy 12.065 0.01244) (xy 12.307123 -0.034537)
(xy 12.519927 -0.174327) (xy 12.662377 -0.38536) (xy 12.71244 -0.635) (xy 12.71244 9.221) (xy 9.207715 9.221)
(xy 9.207715 4.26269) (xy 8.580741 2.745296) (xy 7.42081 1.58334) (xy 5.904513 0.953718) (xy 4.26269 0.952285)
(xy 2.745296 1.579259) (xy 1.58334 2.73919) (xy 0.953718 4.255487) (xy 0.952285 5.89731) (xy 1.579259 7.414704)
(xy 2.73919 8.57666) (xy 4.255487 9.206282) (xy 5.89731 9.207715) (xy 7.414704 8.580741) (xy 8.57666 7.42081)
(xy 9.206282 5.904513) (xy 9.207715 4.26269) (xy 9.207715 9.221) (xy 0.939 9.221) (xy 0.939 -24.461)
(xy 39.701 -24.461) (xy 39.701 9.221)
)
)
)
)
/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.kicad_pcb-bak
1,1039 → 1,1328
(kicad_pcb (version 4) (host pcbnew 0.201508100901+6080~28~ubuntu14.04.1-product)
 
(general
(links 50)
(no_connects 19)
(area 0 0 0 0)
(thickness 1.6)
(drawings 0)
(tracks 154)
(zones 0)
(modules 24)
(nets 26)
)
 
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
 
(setup
(last_trace_width 0.25)
(trace_clearance 0.2)
(zone_clearance 0.508)
(zone_45_only no)
(trace_min 0.2)
(segment_width 0.2)
(edge_width 0.1)
(via_size 0.6)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 1.5 1.5)
(pad_drill 0.6)
(pad_to_mask_clearance 0)
(aux_axis_origin 0 0)
(visible_elements 7FFEF76F)
(pcbplotparams
(layerselection 0x00030_80000001)
(usegerberextensions false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15)
(hpglpenoverlay 2)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 1)
(scaleselection 1)
(outputdirectory ""))
)
 
(net 0 "")
(net 1 "Net-(10M1-Pad1)")
(net 2 GND)
(net 3 "Net-(C1-Pad2)")
(net 4 "Net-(C1-Pad1)")
(net 5 "Net-(C2-Pad1)")
(net 6 "Net-(C2-Pad2)")
(net 7 "Net-(C3-Pad1)")
(net 8 "Net-(C4-Pad1)")
(net 9 "Net-(C4-Pad2)")
(net 10 "Net-(C5-Pad1)")
(net 11 "Net-(C7-Pad1)")
(net 12 "Net-(C8-Pad1)")
(net 13 "Net-(C9-Pad1)")
(net 14 "Net-(T1-Pad2)")
(net 15 "Net-(T2-Pad2)")
(net 16 /VAUX)
(net 17 "Net-(J1-Pad2)")
(net 18 "Net-(J2-Pad2)")
(net 19 "Net-(J3-Pad1)")
(net 20 "Net-(J4-Pad1)")
(net 21 "Net-(J5-Pad3)")
(net 22 "Net-(M1-Pad1)")
(net 23 "Net-(M2-Pad1)")
(net 24 "Net-(M3-Pad1)")
(net 25 "Net-(M4-Pad1)")
 
(net_class Default "Toto je výchozí třída sítě."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.6)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /VAUX)
(add_net GND)
(add_net "Net-(10M1-Pad1)")
(add_net "Net-(C1-Pad1)")
(add_net "Net-(C1-Pad2)")
(add_net "Net-(C2-Pad1)")
(add_net "Net-(C2-Pad2)")
(add_net "Net-(C3-Pad1)")
(add_net "Net-(C4-Pad1)")
(add_net "Net-(C4-Pad2)")
(add_net "Net-(C5-Pad1)")
(add_net "Net-(C7-Pad1)")
(add_net "Net-(C8-Pad1)")
(add_net "Net-(C9-Pad1)")
(add_net "Net-(J1-Pad2)")
(add_net "Net-(J2-Pad2)")
(add_net "Net-(J3-Pad1)")
(add_net "Net-(J4-Pad1)")
(add_net "Net-(J5-Pad3)")
(add_net "Net-(M1-Pad1)")
(add_net "Net-(M2-Pad1)")
(add_net "Net-(M3-Pad1)")
(add_net "Net-(M4-Pad1)")
(add_net "Net-(T1-Pad2)")
(add_net "Net-(T2-Pad2)")
)
 
(module Mlab_R:SMD-0402 (layer F.Cu) (tedit 55A8F259) (tstamp 55A8A316)
(at 158.56 109.32 180)
(path /55A75F10)
(attr smd)
(fp_text reference 10M (at 1.51934 -0.02486 180) (layer F.SilkS)
(effects (font (size 0.35052 0.3048) (thickness 0.07112)))
)
(fp_text value R (at -0.127 0.889 180) (layer F.SilkS) hide
(effects (font (size 0.35052 0.3048) (thickness 0.07112)))
)
(fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.15))
(fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.15))
(fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.15))
(fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.15))
(fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.15))
(fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers F.Cu F.Paste F.Mask)
(net 1 "Net-(10M1-Pad1)"))
(pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model MLAB_3D/Resistors/r_0402.wrl
(at (xyz 0 0 0.002))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeA_Reflow (layer F.Cu) (tedit 55A8F23C) (tstamp 55A8A324)
(at 152.72 95.11 90)
(descr "Tantal Cap. , Size A, EIA-3216, Reflow,")
(tags "Tantal Cap. , Size A, EIA-3216, reflow,")
(path /55A65C0C)
(attr smd)
(fp_text reference C1 (at -1.66 2.61 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_text value 47uF (at 0 3.048 90) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_text user + (at -3.08 -1.69 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_line (start 1.6002 -1.26238) (end 2.4003 -1.26238) (layer F.SilkS) (width 0.15))
(fp_line (start -1.6002 -1.26238) (end -2.4003 -1.26238) (layer F.SilkS) (width 0.15))
(fp_line (start -1.6002 1.13538) (end -2.4003 1.13538) (layer F.SilkS) (width 0.15))
(fp_line (start 1.6002 1.13538) (end 2.4003 1.13538) (layer F.SilkS) (width 0.15))
(fp_line (start -2.79908 -1.26238) (end -2.79908 1.13538) (layer F.SilkS) (width 0.15))
(fp_line (start 1.6002 -1.26238) (end -1.6002 -1.26238) (layer F.SilkS) (width 0.15))
(fp_line (start 1.6002 1.13538) (end -1.6002 1.13538) (layer F.SilkS) (width 0.15))
(pad 2 smd rect (at 1.3589 -0.0635 90) (size 1.95072 1.50114) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(C1-Pad2)"))
(pad 1 smd rect (at -1.3589 -0.0635 90) (size 1.95072 1.50114) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(C1-Pad1)"))
(model MLAB_3D/Capacitors/c_tant_A.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDCC) (tstamp 55A8A32E)
(at 164.13 98.9)
(path /55A639B3)
(attr smd)
(fp_text reference C2 (at 0.02 -1.2) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 1nF (at 0 1.524) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 5 "Net-(C2-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 6 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDC9) (tstamp 55A8A338)
(at 165.39 101.5 90)
(path /55A63C47)
(attr smd)
(fp_text reference C3 (at 0.78 -1.13 90) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 470pF (at 0 1.524 90) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(C3-Pad1)"))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 6 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDDE) (tstamp 55A8A342)
(at 162.85 109.48)
(path /55A6512C)
(attr smd)
(fp_text reference C4 (at -1.79 0) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 1nF (at 0 1.524) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 8 "Net-(C4-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EDD6) (tstamp 55A8A34C)
(at 165.59 108.15 270)
(path /55A65213)
(attr smd)
(fp_text reference C5 (at 1.75 0 360) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 470pF (at 0 1.524 270) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 10 "Net-(C5-Pad1)"))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8F24D) (tstamp 55A8A356)
(at 155.55 102.51 270)
(path /55A66F73)
(attr smd)
(fp_text reference C6 (at 1.73 0.8 360) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 1uF (at 0 1.524 270) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 16 /VAUX))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer F.Cu) (tedit 55A8EE13) (tstamp 55A8A360)
(at 155.59 106.25 90)
(path /55A6727D)
(attr smd)
(fp_text reference C7 (at -1.71 0 180) (layer F.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_text value 2,2uF (at 0 1.524 90) (layer F.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)))
)
(fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 11 "Net-(C7-Pad1)"))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeD_Reflow (layer F.Cu) (tedit 55A8F22A) (tstamp 55A8A36C)
(at 161.58 94.88)
(descr "Tantal Cap. , Size D, EIA-7343, Reflow,")
(tags "Tantal Cap. , Size D, EIA-7343, Reflow,")
(path /55A6722B)
(attr smd)
(fp_text reference C8 (at 0.57 1.17) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_text value 470uF (at -0.09906 3.59918) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_line (start -5.00126 -2.19964) (end -5.00126 2.19964) (layer F.SilkS) (width 0.15))
(fp_line (start -4.50088 2.19964) (end 4.50088 2.19964) (layer F.SilkS) (width 0.15))
(fp_line (start 4.50088 -2.19964) (end -4.50088 -2.19964) (layer F.SilkS) (width 0.15))
(fp_text user + (at -5.84 -1.25) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(fp_line (start -5.65404 -3.302) (end -5.65404 -2.20218) (layer F.SilkS) (width 0.15))
(fp_line (start -6.25348 -2.80162) (end -5.0546 -2.80162) (layer F.SilkS) (width 0.15))
(pad 2 smd rect (at 3.175 0) (size 2.55016 2.70002) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 1 smd rect (at -3.175 0) (size 2.55016 2.70002) (layers F.Cu F.Paste F.Mask)
(net 12 "Net-(C8-Pad1)"))
(model MLAB_3D/Capacitors/c_tant_D.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5535DB0D) (tstamp 55A8A377)
(at 158.1 98.8 270)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55A671D6)
(fp_text reference C9 (at 0 -3.81 270) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value 1-10F (at 0 3.81 270) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27 270) (layer F.SilkS) hide
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 1 thru_hole rect (at 0 -1.27 270) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 13 "Net-(C9-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5535DB0D) (tstamp 55A8A382)
(at 152.7 107.57)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BA9255)
(fp_text reference J1 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS1 (at 0 3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS) hide
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 17 "Net-(J1-Pad2)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Energy_harv:Step-Up_TRANSF (layer F.Cu) (tedit 55A8EDB8) (tstamp 55A8A38F)
(at 170.9 96.71 180)
(path /55A64E73)
(fp_text reference T1 (at -0.56 -1.72 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Step-Up_TRANSFO (at 0 -5.25 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.8 -4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end -4 -2.8) (layer F.SilkS) (width 0.15))
(fp_line (start -4 -2.8) (end -2.8 -4) (layer F.SilkS) (width 0.15))
(fp_line (start 4 4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end 4 4) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 -1.9 180) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(C1-Pad1)"))
(pad 2 smd rect (at 1.9 -2.5 180) (size 2.6 1.4) (layers F.Cu F.Paste F.Mask)
(net 14 "Net-(T1-Pad2)"))
(pad 3 smd rect (at 2.5 1.9 180) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 4 smd rect (at -1.9 2.4 180) (size 2.6 1.6) (layers F.Cu F.Paste F.Mask)
(net 6 "Net-(C2-Pad2)"))
)
 
(module Energy_harv:Step-Up_TRANSF (layer F.Cu) (tedit 55A8EDBD) (tstamp 55A8A39C)
(at 170.94 105.7)
(path /55A650B1)
(fp_text reference T2 (at 0.54 2.98) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Step-Up_TRANSFO (at 0 -5.25) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.8 -4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end -4 -2.8) (layer F.SilkS) (width 0.15))
(fp_line (start -4 -2.8) (end -2.8 -4) (layer F.SilkS) (width 0.15))
(fp_line (start 4 4) (end 4 -4) (layer F.SilkS) (width 0.15))
(fp_line (start -4 4) (end 4 4) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 -1.9) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(C1-Pad2)"))
(pad 2 smd rect (at 1.9 -2.5) (size 2.6 1.4) (layers F.Cu F.Paste F.Mask)
(net 15 "Net-(T2-Pad2)"))
(pad 3 smd rect (at 2.5 1.9) (size 1.4 2.6) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 4 smd rect (at -1.9 2.4) (size 2.6 1.6) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(C4-Pad2)"))
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5535DB0D) (tstamp 55A8A3A7)
(at 152.72 101.53 180)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55A669FE)
(fp_text reference U1 (at 0 -3.81 180) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value THERM_GEN (at 0 3.81 180) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27 180) (layer F.SilkS) hide
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 4 "Net-(C1-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27 180) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 3 "Net-(C1-Pad2)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module SMD_Packages:SSOP-20 (layer F.Cu) (tedit 55A8EE49) (tstamp 55A8A3C7)
(at 160.76676 104.6353 270)
(descr "SSOP 20 pins")
(tags "CMS SSOP SMD")
(path /55A67D56)
(attr smd)
(fp_text reference U2 (at 2.9847 -0.44324 360) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value LTC3109GN (at 0 0.635 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 3.81 -1.778) (end -3.81 -1.778) (layer F.SilkS) (width 0.15))
(fp_line (start -3.81 1.778) (end 3.81 1.778) (layer F.SilkS) (width 0.15))
(fp_line (start 3.81 -1.778) (end 3.81 1.778) (layer F.SilkS) (width 0.15))
(fp_line (start -3.81 1.778) (end -3.81 -1.778) (layer F.SilkS) (width 0.15))
(fp_circle (center -3.302 1.27) (end -3.556 1.016) (layer F.SilkS) (width 0.15))
(fp_line (start -3.81 -0.635) (end -3.048 -0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -3.048 -0.635) (end -3.048 0.635) (layer F.SilkS) (width 0.15))
(fp_line (start -3.048 0.635) (end -3.81 0.635) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.921 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 17 "Net-(J1-Pad2)"))
(pad 2 smd rect (at -2.286 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 18 "Net-(J2-Pad2)"))
(pad 3 smd rect (at -1.6256 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 13 "Net-(C9-Pad1)"))
(pad 4 smd rect (at -0.9652 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 16 /VAUX))
(pad 5 smd rect (at -0.3302 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 12 "Net-(C8-Pad1)"))
(pad 6 smd rect (at 0.3302 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 21 "Net-(J5-Pad3)"))
(pad 7 smd rect (at 0.9906 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 19 "Net-(J3-Pad1)"))
(pad 8 smd rect (at 1.6256 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 20 "Net-(J4-Pad1)"))
(pad 9 smd rect (at 2.286 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 11 "Net-(C7-Pad1)"))
(pad 10 smd rect (at 2.921 2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 11 smd rect (at 2.921 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 8 "Net-(C4-Pad1)"))
(pad 12 smd rect (at 2.286 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 10 "Net-(C5-Pad1)"))
(pad 13 smd rect (at 1.6256 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 14 smd rect (at 0.9906 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 15 "Net-(T2-Pad2)"))
(pad 15 smd rect (at 0.3302 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(C1-Pad1)"))
(pad 16 smd rect (at -0.3302 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(C1-Pad2)"))
(pad 17 smd rect (at -0.9652 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 14 "Net-(T1-Pad2)"))
(pad 18 smd rect (at -1.6256 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 2 GND))
(pad 19 smd rect (at -2.286 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(C3-Pad1)"))
(pad 20 smd rect (at -2.921 -2.667 270) (size 0.4064 1.27) (layers F.Cu F.Paste F.Mask)
(net 5 "Net-(C2-Pad1)"))
(model SMD_Packages.3dshapes/SSOP-20.wrl
(at (xyz 0 0 0))
(scale (xyz 0.255 0.33 0.3))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_Pin_Headers:Straight_1x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6917)
(at 143.4084 103.4796)
(descr "pin header straight 1x03")
(tags "pin header straight 1x03")
(path /55BA9377)
(fp_text reference J2 (at 0 -5.08) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS2 (at 0 5.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -2.54) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -3.81) (end 1.27 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -3.81) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 3.81) (end -1.27 -3.81) (layer F.SilkS) (width 0.15))
(pad 3 thru_hole rect (at 0 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 16 /VAUX))
(pad 2 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 18 "Net-(J2-Pad2)"))
(pad 1 thru_hole rect (at 0 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_1x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA691D)
(at 174.9044 119.38)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BAAB6B)
(fp_text reference J3 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VOUT2_EN (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 19 "Net-(J3-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 19 "Net-(J3-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6923)
(at 154.5844 119.126)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BA9CD4)
(fp_text reference J4 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value "Power Good" (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 "Net-(J4-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 "Net-(J4-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA692D)
(at 167.132 86.9696)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9E0C)
(fp_text reference J5 (at 0 -5.08) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout2 (at 0 5.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 2 thru_hole rect (at 1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 3 thru_hole rect (at -1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 21 "Net-(J5-Pad3)"))
(pad 4 thru_hole rect (at 1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 21 "Net-(J5-Pad3)"))
(pad 5 thru_hole rect (at -1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 6 thru_hole rect (at 1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6937)
(at 162.9664 122.428)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9EE7)
(fp_text reference J6 (at 0 -5.08) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout (at 0 5.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 2 thru_hole rect (at 1.27 -2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 3 thru_hole rect (at -1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 12 "Net-(C8-Pad1)"))
(pad 4 thru_hole rect (at 1.27 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 12 "Net-(C8-Pad1)"))
(pad 5 thru_hole rect (at -1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(pad 6 thru_hole rect (at 1.27 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 2 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA693C)
(at 146.05 89.7636)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA76BA)
(fp_text reference M1 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 22 "Net-(M1-Pad1)") (clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6941)
(at 146.812 113.3856)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA779F)
(fp_text reference M2 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 23 "Net-(M2-Pad1)") (clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6946)
(at 179.832 89.662)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77E6)
(fp_text reference M3 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 24 "Net-(M3-Pad1)") (clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA694B)
(at 181.5084 113.8936)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77EC)
(fp_text reference M4 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(net 25 "Net-(M4-Pad1)") (clearance 1) (zone_connect 2))
)
 
(segment (start 159.72 108.60958) (end 159.00958 109.32) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8F294))
(segment (start 159.72 106.5118) (end 159.72 108.60958) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8F28D))
(segment (start 159.4691 106.2609) (end 159.72 106.5118) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8F285))
(segment (start 158.09976 107.5563) (end 156.9337 107.5563) (width 0.25) (layer F.Cu) (net 2))
(segment (start 156.9337 107.5563) (end 155.71 108.78) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8F2AE))
(segment (start 155.55 103.272) (end 155.55 105.448) (width 0.25) (layer F.Cu) (net 2))
(segment (start 155.55 105.448) (end 155.59 105.488) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8F07D))
(segment (start 164.755 94.88) (end 168.33 94.88) (width 0.25) (layer F.Cu) (net 2))
(segment (start 168.33 94.88) (end 168.4 94.81) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8F066))
(segment (start 163.43376 103.0097) (end 162.3203 103.0097) (width 0.25) (layer F.Cu) (net 2))
(segment (start 161.64 103.69) (end 161.64 105.63) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EC18))
(segment (start 162.3203 103.0097) (end 161.64 103.69) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EC16))
(segment (start 163.43376 106.2609) (end 162.2709 106.2609) (width 0.25) (layer F.Cu) (net 2))
(segment (start 162.2709 106.2609) (end 161.64 105.63) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EBC8))
(segment (start 155.65 108.84) (end 155.71 108.78) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EB81))
(segment (start 155.91 108.58) (end 156.28 108.58) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB88))
(via (at 155.71 108.78) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 155.71 108.78) (end 155.91 108.58) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB87))
(segment (start 173.44 107.6) (end 172.5 107.6) (width 0.25) (layer F.Cu) (net 2))
(segment (start 171.5 106.6) (end 170.75 106.6) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB38))
(segment (start 171.63 106.73) (end 171.5 106.6) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EB37))
(via (at 171.63 106.73) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 172.5 107.6) (end 171.63 106.73) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EB34))
(segment (start 164.755 94.88) (end 163.26 94.88) (width 0.25) (layer F.Cu) (net 2))
(segment (start 162.1 93.72) (end 160.89 93.72) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EAFE))
(segment (start 162.36 93.98) (end 162.1 93.72) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8EAFD))
(via (at 162.36 93.98) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 163.26 94.88) (end 162.36 93.98) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8EAEF))
(segment (start 156.83 98.8) (end 156.83 99.34) (width 0.25) (layer F.Cu) (net 2))
(segment (start 156.83 99.34) (end 158.13 100.64) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8E9CB))
(via (at 158.13 100.64) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 158.13 100.64) (end 161.64 104.15) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8E9D4))
(segment (start 161.64 104.15) (end 161.64 105.63) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8E9D5))
(via (at 161.64 105.63) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 161.64 105.63) (end 161.6359 105.6259) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8E9EB))
(segment (start 161.6359 105.6259) (end 160.65 105.6259) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8E9EC))
(segment (start 158.09976 105.6259) (end 155.7279 105.6259) (width 0.25) (layer F.Cu) (net 2))
(segment (start 155.7279 105.6259) (end 155.59 105.488) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D556))
(segment (start 155.55 105.448) (end 155.59 105.488) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D520))
(segment (start 168.3 94.91) (end 168.4 94.81) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D320) (status 30))
(segment (start 155.463 105.361) (end 155.59 105.488) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8CCD5) (status 30))
(segment (start 155.70176 105.59976) (end 155.59 105.488) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8CCAE) (status 30))
(segment (start 173.44 107.6) (end 173.44 107.82534) (width 0.25) (layer F.Cu) (net 2) (status 30))
(segment (start 158.09976 107.5563) (end 158.11042 109.32) (width 0.25) (layer F.Cu) (net 2) (status 20))
(segment (start 152.7 106.3) (end 152.7 104.49) (width 0.25) (layer F.Cu) (net 2))
(segment (start 152.74 104.53) (end 153.01 104.53) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D527))
(segment (start 152.7 104.49) (end 152.74 104.53) (width 0.25) (layer F.Cu) (net 2) (tstamp 55A8D524))
(via (at 153.01 104.53) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 153.13 104.41) (end 156.29 104.41) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8D52A))
(segment (start 153.01 104.53) (end 153.13 104.41) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8D529))
(via (at 156.29 104.41) (size 0.6) (layers F.Cu B.Cu) (net 2))
(segment (start 168.44 103.8) (end 170.14 103.8) (width 0.25) (layer F.Cu) (net 3))
(segment (start 155.52 95.75) (end 154.15 95.75) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EFEC))
(via (at 155.52 95.75) (size 0.6) (layers F.Cu B.Cu) (net 3))
(segment (start 164.56 95.75) (end 155.52 95.75) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFE6))
(segment (start 170.24 101.43) (end 164.56 95.75) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFDE))
(segment (start 170.24 103.52) (end 170.24 101.43) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFDC))
(segment (start 170.33 103.61) (end 170.24 103.52) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8EFDB))
(via (at 170.33 103.61) (size 0.6) (layers F.Cu B.Cu) (net 3))
(segment (start 170.14 103.8) (end 170.33 103.61) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EFC7))
(segment (start 168.44 103.8) (end 169.07 103.8) (width 0.25) (layer F.Cu) (net 3))
(segment (start 163.43376 104.3051) (end 167.9349 104.3051) (width 0.25) (layer F.Cu) (net 3))
(segment (start 167.9349 104.3051) (end 168.44 103.8) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EF0E))
(segment (start 152.6565 93.7511) (end 154.1611 93.7511) (width 0.25) (layer F.Cu) (net 3))
(segment (start 154.1611 93.7511) (end 154.15 93.7622) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D571))
(segment (start 154.15 95.66) (end 154.15 95.75) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8EF43))
(segment (start 154.15 95.19) (end 154.15 95.66) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D769))
(segment (start 154.15 93.7622) (end 154.15 95.19) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D576))
(segment (start 154.13 102.8) (end 152.72 102.8) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D58A))
(segment (start 154.15 95.75) (end 154.15 102.78) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D7D1))
(segment (start 154.15 102.78) (end 154.13 102.8) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8D589))
(segment (start 152.4914 93.9162) (end 152.6565 93.7511) (width 0.25) (layer F.Cu) (net 3) (tstamp 55A8CCE5) (status 30))
(segment (start 173.4 101.19) (end 173.4 98.61) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D6FF))
(via (at 173.4 101.19) (size 0.6) (layers F.Cu B.Cu) (net 4))
(segment (start 173.37 101.22) (end 173.4 101.19) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6FD))
(segment (start 173.37 102.09) (end 173.37 101.22) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6F7))
(segment (start 172.335 103.125) (end 173.37 102.09) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D77F))
(segment (start 166.01 104.99) (end 170.47 104.99) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6D4))
(segment (start 170.47 104.99) (end 172.335 103.125) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6DE))
(segment (start 165.98 104.96) (end 166.01 104.99) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D6D3))
(segment (start 152.72 98.45) (end 153.42 98.45) (width 0.25) (layer F.Cu) (net 4))
(segment (start 164.32 106.62) (end 165.98 104.96) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D7A8))
(segment (start 159.04 106.62) (end 164.32 106.62) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D7A3))
(segment (start 157.6 105.18) (end 159.04 106.62) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D79D))
(segment (start 157.6 102.63) (end 157.6 105.18) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D78D))
(segment (start 153.42 98.45) (end 157.6 102.63) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D78C))
(via (at 153.42 98.45) (size 0.6) (layers F.Cu B.Cu) (net 4))
(segment (start 163.43376 104.9655) (end 165.9745 104.9655) (width 0.25) (layer F.Cu) (net 4))
(via (at 165.98 104.96) (size 0.6) (layers F.Cu B.Cu) (net 4))
(segment (start 165.9745 104.9655) (end 165.98 104.96) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D6CF))
(segment (start 152.72 100.26) (end 152.72 98.45) (width 0.25) (layer F.Cu) (net 4))
(segment (start 152.72 98.45) (end 152.72 98.41) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D788))
(segment (start 152.72 98.41) (end 152.72 96.5324) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D668))
(segment (start 152.72 96.5324) (end 152.6565 96.4689) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8D56D))
(segment (start 173.4 98.61) (end 173.4 99.41) (width 0.25) (layer F.Cu) (net 4) (status 30))
(segment (start 152.6438 96.4562) (end 152.6565 96.4689) (width 0.25) (layer F.Cu) (net 4) (tstamp 55A8CCE8) (status 30))
(segment (start 163.368 98.9) (end 163.368 101.64854) (width 0.25) (layer F.Cu) (net 5))
(segment (start 163.368 101.64854) (end 163.43376 101.7143) (width 0.25) (layer F.Cu) (net 5) (tstamp 55A8F051))
(segment (start 164.892 98.9) (end 164.892 100.24) (width 0.25) (layer F.Cu) (net 6))
(segment (start 164.892 100.24) (end 165.39 100.738) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8F048))
(segment (start 165.14 98.9) (end 164.892 98.9) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D623))
(segment (start 164.892 98.9) (end 165.67 98.9) (width 0.25) (layer F.Cu) (net 6))
(segment (start 165.67 98.9) (end 165.96 98.9) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D502))
(segment (start 165.96 98.9) (end 167.74 97.12) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D4F7))
(segment (start 167.74 97.12) (end 169.99 97.12) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D4F9))
(segment (start 169.99 97.12) (end 172.8 94.31) (width 0.25) (layer F.Cu) (net 6) (tstamp 55A8D4FB))
(segment (start 172.8 94.31) (end 172.8 94.33756) (width 0.25) (layer F.Cu) (net 6) (status 30))
(segment (start 163.43376 102.3493) (end 165.3027 102.3493) (width 0.25) (layer F.Cu) (net 7) (status 20))
(segment (start 165.3027 102.3493) (end 165.39 102.262) (width 0.25) (layer F.Cu) (net 7) (tstamp 55A8D5D9) (status 30))
(segment (start 163.43376 107.5563) (end 162.08038 109.47238) (width 0.25) (layer F.Cu) (net 8) (status 20))
(segment (start 162.08038 109.47238) (end 162.088 109.48) (width 0.25) (layer F.Cu) (net 8) (tstamp 55A8C9FF) (status 30))
(segment (start 165.59 108.912) (end 168.228 108.912) (width 0.25) (layer F.Cu) (net 9))
(segment (start 168.228 108.912) (end 169.04 108.1) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8ECC9))
(segment (start 165.59 108.912) (end 164.18 108.912) (width 0.25) (layer F.Cu) (net 9))
(segment (start 164.18 108.912) (end 163.612 109.48) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8E8A4))
(segment (start 165.58238 108.91962) (end 165.59 108.912) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8CA07) (status 30))
(segment (start 169.0397 108.1003) (end 169.04 108.1) (width 0.25) (layer F.Cu) (net 9) (tstamp 55A8CA04) (status 30))
(segment (start 165.59 107.388) (end 165.41474 107.388) (width 0.25) (layer F.Cu) (net 10) (status 30))
(segment (start 165.41474 107.388) (end 164.67836 106.9213) (width 0.25) (layer F.Cu) (net 10) (tstamp 55A8CA0C) (status 10))
(segment (start 164.67836 106.9213) (end 163.43376 106.9213) (width 0.25) (layer F.Cu) (net 10) (tstamp 55A8CA1D))
(segment (start 158.09976 106.9213) (end 155.6807 106.9213) (width 0.25) (layer F.Cu) (net 11))
(segment (start 155.6807 106.9213) (end 155.59 107.012) (width 0.25) (layer F.Cu) (net 11) (tstamp 55A8D55B))
(segment (start 155.70684 106.89516) (end 155.59 107.012) (width 0.25) (layer F.Cu) (net 11) (tstamp 55A8CCB1) (status 30))
(segment (start 158.405 94.88) (end 160.89 94.88) (width 0.25) (layer F.Cu) (net 12))
(segment (start 159.6949 104.3051) (end 158.09976 104.3051) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F02D))
(segment (start 160.87 103.13) (end 159.6949 104.3051) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F028))
(segment (start 160.87 94.9) (end 160.87 103.13) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F023))
(segment (start 160.89 94.88) (end 160.87 94.9) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8F01E))
(segment (start 156.3949 104.3051) (end 158.09976 104.3051) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8D52D))
(segment (start 156.29 104.41) (end 156.3949 104.3051) (width 0.25) (layer F.Cu) (net 12) (tstamp 55A8D52C))
(segment (start 158.09976 104.3051) (end 158.09976 104.9655) (width 0.25) (layer F.Cu) (net 12))
(segment (start 158.09976 103.0097) (end 159.2403 103.0097) (width 0.25) (layer F.Cu) (net 13))
(segment (start 160.02 102.23) (end 160.02 99.45) (width 0.25) (layer F.Cu) (net 13) (tstamp 55A8EC33))
(segment (start 159.2403 103.0097) (end 160.02 102.23) (width 0.25) (layer F.Cu) (net 13) (tstamp 55A8EC28))
(segment (start 160.02 99.45) (end 159.37 98.8) (width 0.25) (layer F.Cu) (net 13) (tstamp 55A8EC38))
(segment (start 163.43376 103.6701) (end 166.1299 103.6701) (width 0.25) (layer F.Cu) (net 14))
(segment (start 167.1 101.11) (end 169 99.21) (width 0.25) (layer F.Cu) (net 14) (tstamp 55A8D5EF))
(segment (start 167.1 102.7) (end 167.1 101.11) (width 0.25) (layer F.Cu) (net 14) (tstamp 55A8D5EB))
(segment (start 166.1299 103.6701) (end 167.1 102.7) (width 0.25) (layer F.Cu) (net 14) (tstamp 55A8D5DD))
(segment (start 172.84 103.2) (end 172.84 103.42662) (width 0.25) (layer F.Cu) (net 15) (status 30))
(segment (start 172.84 103.42662) (end 172.832785 105.625695) (width 0.25) (layer F.Cu) (net 15) (tstamp 55A8CBD1) (status 10))
(segment (start 172.832785 105.625695) (end 163.792925 105.625695) (width 0.25) (layer F.Cu) (net 15) (tstamp 55A8CBD2))
(segment (start 158.09976 101.7143) (end 155.5837 101.7143) (width 0.25) (layer F.Cu) (net 16))
(segment (start 155.5837 101.7143) (end 155.55 101.748) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8ECE6))
(segment (start 156.96524 103.6701) (end 158.09976 103.6701) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDB0))
(segment (start 156.95 103.65486) (end 156.96524 103.6701) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDAA))
(segment (start 156.95 102.35184) (end 156.95 103.65486) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDA5))
(segment (start 156.94746 102.3493) (end 156.95 102.35184) (width 0.25) (layer F.Cu) (net 16) (tstamp 55A8CDA0))
(segment (start 152.7 108.84) (end 155.65 108.84) (width 0.25) (layer F.Cu) (net 17))
(segment (start 158.09976 101.7143) (end 158.09976 102.3493) (width 0.25) (layer F.Cu) (net 17))
(segment (start 158.09214 101.72192) (end 158.09976 101.7143) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8CB55))
(segment (start 158.09976 102.3493) (end 156.94746 102.3493) (width 0.25) (layer F.Cu) (net 18))
(segment (start 158.09976 105.6259) (end 160.65 105.6259) (width 0.25) (layer F.Cu) (net 19))
(segment (start 158.09976 106.2609) (end 159.4691 106.2609) (width 0.25) (layer F.Cu) (net 20))
 
(zone (net 2) (net_name GND) (layer B.Cu) (tstamp 55A8EA60) (hatch edge 0.508)
(connect_pads (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 175.66 110.36) (xy 175.69 91.7) (xy 150.54 91.7) (xy 150.54 110.36)
)
)
(filled_polygon
(pts
(xy 175.562795 91.827) (xy 175.533203 110.233) (xy 174.335162 110.233) (xy 174.335162 101.004833) (xy 174.193117 100.661057)
(xy 173.930327 100.397808) (xy 173.586799 100.255162) (xy 173.214833 100.254838) (xy 172.871057 100.396883) (xy 172.607808 100.659673)
(xy 172.465162 101.003201) (xy 172.464838 101.375167) (xy 172.606883 101.718943) (xy 172.61 101.722065) (xy 172.61 101.775198)
(xy 171.797599 102.587599) (xy 171.186511 103.198686) (xy 171.113117 103.021057) (xy 171.08 102.987882) (xy 171.08 101.48)
(xy 171.022148 101.189161) (xy 170.857401 100.942599) (xy 165.127401 95.212599) (xy 164.880839 95.047852) (xy 164.59 94.99)
(xy 156.102462 94.99) (xy 156.070327 94.957808) (xy 155.726799 94.815162) (xy 155.354833 94.814838) (xy 155.011057 94.956883)
(xy 154.747808 95.219673) (xy 154.605162 95.563201) (xy 154.604838 95.935167) (xy 154.746883 96.278943) (xy 155.009673 96.542192)
(xy 155.353201 96.684838) (xy 155.725167 96.685162) (xy 156.068943 96.543117) (xy 156.102117 96.51) (xy 164.275198 96.51)
(xy 169.56 101.794802) (xy 169.56 102.987537) (xy 169.527808 103.019673) (xy 169.385162 103.363201) (xy 169.384838 103.735167)
(xy 169.526883 104.078943) (xy 169.677676 104.23) (xy 166.57241 104.23) (xy 166.510327 104.167808) (xy 166.166799 104.025162)
(xy 165.794833 104.024838) (xy 165.451057 104.166883) (xy 165.187808 104.429673) (xy 165.045162 104.773201) (xy 165.045121 104.820076)
(xy 164.005198 105.86) (xy 160.77944 105.86) (xy 160.77944 99.562) (xy 160.77944 98.038) (xy 160.732463 97.795877)
(xy 160.592673 97.583073) (xy 160.38164 97.440623) (xy 160.132 97.39056) (xy 158.608 97.39056) (xy 158.365877 97.437537)
(xy 158.153073 97.577327) (xy 158.103214 97.651189) (xy 157.951699 97.499673) (xy 157.71831 97.403) (xy 157.465691 97.403)
(xy 157.11575 97.403) (xy 156.957 97.56175) (xy 156.957 98.673) (xy 156.977 98.673) (xy 156.977 98.927)
(xy 156.957 98.927) (xy 156.957 100.03825) (xy 157.11575 100.197) (xy 157.465691 100.197) (xy 157.71831 100.197)
(xy 157.951699 100.100327) (xy 158.102832 99.949192) (xy 158.147327 100.016927) (xy 158.35836 100.159377) (xy 158.608 100.20944)
(xy 160.132 100.20944) (xy 160.374123 100.162463) (xy 160.586927 100.022673) (xy 160.729377 99.81164) (xy 160.77944 99.562)
(xy 160.77944 105.86) (xy 159.354802 105.86) (xy 158.36 104.865198) (xy 158.36 102.63) (xy 158.302148 102.339161)
(xy 158.137401 102.092599) (xy 156.241802 100.197) (xy 156.54425 100.197) (xy 156.703 100.03825) (xy 156.703 98.927)
(xy 156.703 98.673) (xy 156.703 97.56175) (xy 156.54425 97.403) (xy 156.194309 97.403) (xy 155.94169 97.403)
(xy 155.708301 97.499673) (xy 155.529673 97.678302) (xy 155.433 97.911691) (xy 155.433 98.51425) (xy 155.59175 98.673)
(xy 156.703 98.673) (xy 156.703 98.927) (xy 155.59175 98.927) (xy 155.433 99.08575) (xy 155.433 99.388198)
(xy 154.355122 98.31032) (xy 154.355162 98.264833) (xy 154.213117 97.921057) (xy 153.950327 97.657808) (xy 153.606799 97.515162)
(xy 153.234833 97.514838) (xy 152.891057 97.656883) (xy 152.627808 97.919673) (xy 152.485162 98.263201) (xy 152.484838 98.635167)
(xy 152.573836 98.85056) (xy 151.958 98.85056) (xy 151.715877 98.897537) (xy 151.503073 99.037327) (xy 151.360623 99.24836)
(xy 151.31056 99.498) (xy 151.31056 101.022) (xy 151.357537 101.264123) (xy 151.497327 101.476927) (xy 151.575541 101.529722)
(xy 151.503073 101.577327) (xy 151.360623 101.78836) (xy 151.31056 102.038) (xy 151.31056 103.562) (xy 151.357537 103.804123)
(xy 151.497327 104.016927) (xy 151.70836 104.159377) (xy 151.958 104.20944) (xy 152.130704 104.20944) (xy 152.075162 104.343201)
(xy 152.074838 104.715167) (xy 152.147308 104.89056) (xy 151.938 104.89056) (xy 151.695877 104.937537) (xy 151.483073 105.077327)
(xy 151.340623 105.28836) (xy 151.29056 105.538) (xy 151.29056 107.062) (xy 151.337537 107.304123) (xy 151.477327 107.516927)
(xy 151.551189 107.566785) (xy 151.399673 107.718301) (xy 151.303 107.95169) (xy 151.303 108.204309) (xy 151.303 108.55425)
(xy 151.46175 108.713) (xy 152.573 108.713) (xy 152.573 108.693) (xy 152.827 108.693) (xy 152.827 108.713)
(xy 153.93825 108.713) (xy 154.097 108.55425) (xy 154.097 108.204309) (xy 154.097 107.95169) (xy 154.000327 107.718301)
(xy 153.849192 107.567167) (xy 153.916927 107.522673) (xy 154.059377 107.31164) (xy 154.10944 107.062) (xy 154.10944 105.538)
(xy 154.062463 105.295877) (xy 153.979774 105.17) (xy 155.727537 105.17) (xy 155.759673 105.202192) (xy 156.103201 105.344838)
(xy 156.475167 105.345162) (xy 156.818943 105.203117) (xy 156.840347 105.181749) (xy 156.897852 105.470839) (xy 157.062599 105.717401)
(xy 158.502599 107.157401) (xy 158.749161 107.322148) (xy 159.04 107.38) (xy 164.32 107.38) (xy 164.610839 107.322148)
(xy 164.857401 107.157401) (xy 166.119679 105.895122) (xy 166.165167 105.895162) (xy 166.508943 105.753117) (xy 166.512065 105.75)
(xy 170.47 105.75) (xy 170.760839 105.692148) (xy 171.007401 105.527401) (xy 172.872401 103.662401) (xy 173.907401 102.627401)
(xy 174.072148 102.380839) (xy 174.13 102.09) (xy 174.13 101.78241) (xy 174.192192 101.720327) (xy 174.334838 101.376799)
(xy 174.335162 101.004833) (xy 174.335162 110.233) (xy 153.597965 110.233) (xy 153.821698 110.140327) (xy 154.000327 109.961699)
(xy 154.097 109.72831) (xy 154.097 109.475691) (xy 154.097 109.12575) (xy 153.93825 108.967) (xy 152.827 108.967)
(xy 152.827 108.987) (xy 152.573 108.987) (xy 152.573 108.967) (xy 151.46175 108.967) (xy 151.303 109.12575)
(xy 151.303 109.475691) (xy 151.303 109.72831) (xy 151.399673 109.961699) (xy 151.578302 110.140327) (xy 151.802034 110.233)
(xy 150.667 110.233) (xy 150.667 91.827) (xy 175.562795 91.827)
)
)
)
)
(kicad_pcb (version 4) (host pcbnew "(2015-05-13 BZR 5653)-product")
 
(general
(links 57)
(no_connects 26)
(area 0.203999 -25.196001 40.436001 9.956001)
(thickness 1.6)
(drawings 4)
(tracks 114)
(zones 0)
(modules 27)
(nets 21)
)
 
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
 
(setup
(last_trace_width 0.25)
(trace_clearance 0.2)
(zone_clearance 0.508)
(zone_45_only no)
(trace_min 0.2)
(segment_width 0.2)
(edge_width 0.1)
(via_size 0.6)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 6 6)
(pad_drill 3)
(pad_to_mask_clearance 0)
(aux_axis_origin 0 0)
(visible_elements 7FFEFFEF)
(pcbplotparams
(layerselection 0x00030_80000001)
(usegerberextensions false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15)
(hpglpenoverlay 2)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 1)
(scaleselection 1)
(outputdirectory ""))
)
 
(net 0 "")
(net 1 GND)
(net 2 "Net-(C2-Pad1)")
(net 3 "Net-(C2-Pad2)")
(net 4 "Net-(C3-Pad1)")
(net 5 "Net-(C4-Pad1)")
(net 6 "Net-(C4-Pad2)")
(net 7 "Net-(C5-Pad1)")
(net 8 "Net-(C7-Pad1)")
(net 9 "Net-(T1-Pad2)")
(net 10 "Net-(T2-Pad2)")
(net 11 /VAUX)
(net 12 "Net-(J1-Pad2)")
(net 13 "Net-(J2-Pad2)")
(net 14 "Net-(J3-Pad1)")
(net 15 "Net-(J4-Pad1)")
(net 16 /T-)
(net 17 /T+)
(net 18 /V_OUT)
(net 19 /C+)
(net 20 /V_OUT2)
 
(net_class Default "Toto je výchozí třída sítě."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.6)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /C+)
(add_net /T+)
(add_net /T-)
(add_net /VAUX)
(add_net /V_OUT)
(add_net /V_OUT2)
(add_net GND)
(add_net "Net-(C2-Pad1)")
(add_net "Net-(C2-Pad2)")
(add_net "Net-(C3-Pad1)")
(add_net "Net-(C4-Pad1)")
(add_net "Net-(C4-Pad2)")
(add_net "Net-(C5-Pad1)")
(add_net "Net-(C7-Pad1)")
(add_net "Net-(J1-Pad2)")
(add_net "Net-(J2-Pad2)")
(add_net "Net-(J3-Pad1)")
(add_net "Net-(J4-Pad1)")
(add_net "Net-(T1-Pad2)")
(add_net "Net-(T2-Pad2)")
)
 
(module Mlab_R:SMD-0402 (layer B.Cu) (tedit 55A8F259) (tstamp 55A8A316)
(at 20.512 -15.388 180)
(path /55A75F10)
(attr smd)
(fp_text reference 10M (at 1.51934 0.02486 180) (layer B.SilkS)
(effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
)
(fp_text value R (at -0.127 -0.889 180) (layer B.SilkS) hide
(effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
)
(fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.15))
(fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.15))
(fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.15))
(fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.15))
(fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.15))
(fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model MLAB_3D/Resistors/r_0402.wrl
(at (xyz 0 0 0.002))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeA_Reflow (layer B.Cu) (tedit 55A8F23C) (tstamp 55A8A324)
(at 13.97 -5.08 270)
(descr "Tantal Cap. , Size A, EIA-3216, Reflow,")
(tags "Tantal Cap. , Size A, EIA-3216, reflow,")
(path /55A65C0C)
(attr smd)
(fp_text reference C1 (at -1.66 -2.61 540) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_text value 47uF (at 0 -3.048 270) (layer B.SilkS) hide
(effects (font (thickness 0.3048)) (justify mirror))
)
(fp_text user + (at -3.08 1.69 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_line (start 1.6002 1.26238) (end 2.4003 1.26238) (layer B.SilkS) (width 0.15))
(fp_line (start -1.6002 1.26238) (end -2.4003 1.26238) (layer B.SilkS) (width 0.15))
(fp_line (start -1.6002 -1.13538) (end -2.4003 -1.13538) (layer B.SilkS) (width 0.15))
(fp_line (start 1.6002 -1.13538) (end 2.4003 -1.13538) (layer B.SilkS) (width 0.15))
(fp_line (start -2.79908 1.26238) (end -2.79908 -1.13538) (layer B.SilkS) (width 0.15))
(fp_line (start 1.6002 1.26238) (end -1.6002 1.26238) (layer B.SilkS) (width 0.15))
(fp_line (start 1.6002 -1.13538) (end -1.6002 -1.13538) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 1.3589 0.0635 270) (size 1.95072 1.50114) (layers B.Cu B.Paste B.Mask)
(net 16 /T-))
(pad 1 smd rect (at -1.3589 0.0635 270) (size 1.95072 1.50114) (layers B.Cu B.Paste B.Mask)
(net 17 /T+))
(model MLAB_3D/Capacitors/c_tant_A.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDCC) (tstamp 55A8A32E)
(at 26.082 -4.968)
(path /55A639B3)
(attr smd)
(fp_text reference C2 (at 0.02 1.2) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 1nF (at 0 -1.524) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 2 "Net-(C2-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDC9) (tstamp 55A8A338)
(at 27.342 -7.568 270)
(path /55A63C47)
(attr smd)
(fp_text reference C3 (at 0.78 1.13 270) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 470pF (at 0 -1.524 270) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 4 "Net-(C3-Pad1)"))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(C2-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDDE) (tstamp 55A8A342)
(at 24.802 -15.548)
(path /55A6512C)
(attr smd)
(fp_text reference C4 (at -1.79 0) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 1nF (at 0 -1.524) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 5 "Net-(C4-Pad1)"))
(pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EDD6) (tstamp 55A8A34C)
(at 27.542 -14.218 90)
(path /55A65213)
(attr smd)
(fp_text reference C5 (at 1.75 0 360) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 470pF (at 0 -1.524 90) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 7 "Net-(C5-Pad1)"))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(C4-Pad2)"))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8F24D) (tstamp 55A8A356)
(at 17.502 -8.578 90)
(path /55A66F73)
(attr smd)
(fp_text reference C6 (at 1.73 -0.8 360) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 1uF (at 0 -1.524 90) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 11 /VAUX))
(pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:SMD-0603 (layer B.Cu) (tedit 55A8EE13) (tstamp 55A8A360)
(at 17.542 -12.318 270)
(path /55A6727D)
(attr smd)
(fp_text reference C7 (at -1.71 0 540) (layer B.SilkS)
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_text value 2,2uF (at 0 -1.524 270) (layer B.SilkS) hide
(effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
)
(fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 8 "Net-(C7-Pad1)"))
(pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model MLAB_3D/Resistors/r_0603.wrl
(at (xyz 0 0 0.001))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_C:TantalC_SizeD_Reflow (layer B.Cu) (tedit 55A8F22A) (tstamp 55A8A36C)
(at 15.24 5.08 270)
(descr "Tantal Cap. , Size D, EIA-7343, Reflow,")
(tags "Tantal Cap. , Size D, EIA-7343, Reflow,")
(path /55A6722B)
(attr smd)
(fp_text reference C8 (at 0.57 -1.17 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_text value 470uF (at -0.09906 -3.59918 270) (layer B.SilkS) hide
(effects (font (thickness 0.3048)) (justify mirror))
)
(fp_line (start -5.00126 2.19964) (end -5.00126 -2.19964) (layer B.SilkS) (width 0.15))
(fp_line (start -4.50088 -2.19964) (end 4.50088 -2.19964) (layer B.SilkS) (width 0.15))
(fp_line (start 4.50088 2.19964) (end -4.50088 2.19964) (layer B.SilkS) (width 0.15))
(fp_text user + (at -5.84 1.25 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_line (start -5.65404 3.302) (end -5.65404 2.20218) (layer B.SilkS) (width 0.15))
(fp_line (start -6.25348 2.80162) (end -5.0546 2.80162) (layer B.SilkS) (width 0.15))
(pad 2 smd rect (at 3.175 0 270) (size 2.55016 2.70002) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 1 smd rect (at -3.175 0 270) (size 2.55016 2.70002) (layers B.Cu B.Paste B.Mask)
(net 18 /V_OUT))
(model MLAB_3D/Capacitors/c_tant_D.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer B.Cu) (tedit 5535DB0D) (tstamp 55A8A377)
(at 20.052 -4.868 90)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55A671D6)
(fp_text reference C9 (at 0 3.81 90) (layer B.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)) (justify mirror))
)
(fp_text value 1-10F (at 0 -3.81 90) (layer B.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)) (justify mirror))
)
(fp_text user 1 (at -1.651 1.27 90) (layer B.SilkS) hide
(effects (font (size 0.5 0.5) (thickness 0.05)) (justify mirror))
)
(fp_line (start -1.27 2.54) (end 1.27 2.54) (layer B.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end 1.27 -2.54) (layer B.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end -1.27 -2.54) (layer B.SilkS) (width 0.15))
(fp_line (start -1.27 -2.54) (end -1.27 2.54) (layer B.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 -1.27 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask B.SilkS)
(net 1 GND))
(pad 1 thru_hole rect (at 0 1.27 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask B.SilkS)
(net 19 /C+))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Energy_harv:Step-Up_TRANSF (layer B.Cu) (tedit 55A8EDB8) (tstamp 55A8A38F)
(at 32.852 -2.778 180)
(path /55A64E73)
(fp_text reference T1 (at -0.56 1.72 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Step-Up_TRANSFO (at 0 5.25 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.8 4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end -4 2.8) (layer B.SilkS) (width 0.15))
(fp_line (start -4 2.8) (end -2.8 4) (layer B.SilkS) (width 0.15))
(fp_line (start 4 -4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end 4 -4) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 1.9 180) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 17 /T+))
(pad 2 smd rect (at 1.9 2.5 180) (size 2.6 1.4) (layers B.Cu B.Paste B.Mask)
(net 9 "Net-(T1-Pad2)"))
(pad 3 smd rect (at 2.5 -1.9 180) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 4 smd rect (at -1.9 -2.4 180) (size 2.6 1.6) (layers B.Cu B.Paste B.Mask)
(net 3 "Net-(C2-Pad2)"))
)
 
(module Energy_harv:Step-Up_TRANSF (layer B.Cu) (tedit 55A8EDBD) (tstamp 55A8A39C)
(at 32.892 -11.768)
(path /55A650B1)
(fp_text reference T2 (at 0.54 -2.98) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Step-Up_TRANSFO (at 0 5.25) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.8 4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end -4 2.8) (layer B.SilkS) (width 0.15))
(fp_line (start -4 2.8) (end -2.8 4) (layer B.SilkS) (width 0.15))
(fp_line (start 4 -4) (end 4 4) (layer B.SilkS) (width 0.15))
(fp_line (start -4 -4) (end 4 -4) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.5 1.9) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 16 /T-))
(pad 2 smd rect (at 1.9 2.5) (size 2.6 1.4) (layers B.Cu B.Paste B.Mask)
(net 10 "Net-(T2-Pad2)"))
(pad 3 smd rect (at 2.5 -1.9) (size 1.4 2.6) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 4 smd rect (at -1.9 -2.4) (size 2.6 1.6) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(C4-Pad2)"))
)
 
(module SMD_Packages:SSOP-20 (layer B.Cu) (tedit 55A8EE49) (tstamp 55A8A3C7)
(at 22.71876 -10.7033 90)
(descr "SSOP 20 pins")
(tags "CMS SSOP SMD")
(path /55A67D56)
(attr smd)
(fp_text reference U2 (at 2.9847 0.44324 360) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value LTC3109GN (at 0 -0.635 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 3.81 1.778) (end -3.81 1.778) (layer B.SilkS) (width 0.15))
(fp_line (start -3.81 -1.778) (end 3.81 -1.778) (layer B.SilkS) (width 0.15))
(fp_line (start 3.81 1.778) (end 3.81 -1.778) (layer B.SilkS) (width 0.15))
(fp_line (start -3.81 -1.778) (end -3.81 1.778) (layer B.SilkS) (width 0.15))
(fp_circle (center -3.302 -1.27) (end -3.556 -1.016) (layer B.SilkS) (width 0.15))
(fp_line (start -3.81 0.635) (end -3.048 0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -3.048 0.635) (end -3.048 -0.635) (layer B.SilkS) (width 0.15))
(fp_line (start -3.048 -0.635) (end -3.81 -0.635) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.921 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 12 "Net-(J1-Pad2)"))
(pad 2 smd rect (at -2.286 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 13 "Net-(J2-Pad2)"))
(pad 3 smd rect (at -1.6256 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 19 /C+))
(pad 4 smd rect (at -0.9652 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 11 /VAUX))
(pad 5 smd rect (at -0.3302 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 18 /V_OUT))
(pad 6 smd rect (at 0.3302 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 20 /V_OUT2))
(pad 7 smd rect (at 0.9906 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 14 "Net-(J3-Pad1)"))
(pad 8 smd rect (at 1.6256 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 15 "Net-(J4-Pad1)"))
(pad 9 smd rect (at 2.286 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 8 "Net-(C7-Pad1)"))
(pad 10 smd rect (at 2.921 -2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 11 smd rect (at 2.921 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 5 "Net-(C4-Pad1)"))
(pad 12 smd rect (at 2.286 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 7 "Net-(C5-Pad1)"))
(pad 13 smd rect (at 1.6256 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 14 smd rect (at 0.9906 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 10 "Net-(T2-Pad2)"))
(pad 15 smd rect (at 0.3302 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 17 /T+))
(pad 16 smd rect (at -0.3302 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 16 /T-))
(pad 17 smd rect (at -0.9652 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 9 "Net-(T1-Pad2)"))
(pad 18 smd rect (at -1.6256 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 19 smd rect (at -2.286 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 4 "Net-(C3-Pad1)"))
(pad 20 smd rect (at -2.921 2.667 90) (size 0.4064 1.27) (layers B.Cu B.Paste B.Mask)
(net 2 "Net-(C2-Pad1)"))
(model SMD_Packages.3dshapes/SSOP-20.wrl
(at (xyz 0 0 0))
(scale (xyz 0.255 0.33 0.3))
(rotate (xyz 0 0 0))
)
)
 
(module Mlab_Pin_Headers:Straight_1x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6917)
(at 7.62 -11.43 90)
(descr "pin header straight 1x03")
(tags "pin header straight 1x03")
(path /55BA9377)
(fp_text reference J2 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS2 (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -3.81) (end 1.27 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -3.81) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 3.81) (end -1.27 -3.81) (layer F.SilkS) (width 0.15))
(pad 3 thru_hole rect (at 0 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 11 /VAUX))
(pad 2 thru_hole rect (at 0 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 13 "Net-(J2-Pad2)"))
(pad 1 thru_hole rect (at 0 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_1x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA691D)
(at 11.43 -20.32)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BAAB6B)
(fp_text reference J3 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VOUT2_EN (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 14 "Net-(J3-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 14 "Net-(J3-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_1x02 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6923)
(at 13.97 -20.32)
(descr "pin header straight 1x02")
(tags "pin header straight 1x02")
(path /55BA9CD4)
(fp_text reference J4 (at 0 -3.81) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value "Power Good" (at 0 3.81) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -1.27) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -2.54) (end 1.27 -2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -2.54) (end 1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 2.54) (end -1.27 2.54) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 2.54) (end -1.27 -2.54) (layer F.SilkS) (width 0.15))
(pad 2 thru_hole rect (at 0 1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 15 "Net-(J4-Pad1)"))
(pad 1 thru_hole rect (at 0 -1.27) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 15 "Net-(J4-Pad1)"))
(model Pin_Headers/Pin_Header_Straight_1x02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA692D)
(at 20.32 -20.32 90)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9E0C)
(fp_text reference J5 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout2 (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 2 thru_hole rect (at 1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at -1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 /V_OUT2))
(pad 4 thru_hole rect (at 1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 20 /V_OUT2))
(pad 5 thru_hole rect (at -1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at 1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Pin_Headers:Straight_2x03 (layer F.Cu) (tedit 5454C210) (tstamp 55CA6937)
(at 27.94 -20.32 90)
(descr "pin header straight 2x03")
(tags "pin header straight 2x03")
(path /55BA9EE7)
(fp_text reference J6 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value Vout (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -2.921 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -2.54 -3.81) (end 2.54 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 -3.81) (end 2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 2.54 3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -2.54 3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 2 thru_hole rect (at 1.27 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 3 thru_hole rect (at -1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 18 /V_OUT))
(pad 4 thru_hole rect (at 1.27 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 18 /V_OUT))
(pad 5 thru_hole rect (at -1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 6 thru_hole rect (at 1.27 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_2x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA693C)
(at 5.08 -20.32)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA76BA)
(fp_text reference M1 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6941)
(at 5.08 5.08)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA779F)
(fp_text reference M2 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA6946)
(at 35.56 -20.32)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77E6)
(fp_text reference M3 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Mechanical:MountingHole_3mm placed (layer F.Cu) (tedit 54BBE638) (tstamp 55CA694B)
(at 35.56 5.08)
(descr "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(tags "Mounting hole, Befestigungsbohrung, 3mm, No Annular, Kein Restring,")
(path /55CA77EC)
(fp_text reference M4 (at 0 -4.191) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value HOLE (at 0 4.191) (layer F.SilkS) hide
(effects (font (thickness 0.3048)))
)
(fp_circle (center 0 0) (end 2.99974 0) (layer Cmts.User) (width 0.381))
(pad 1 thru_hole circle (at 0 0) (size 6 6) (drill 3) (layers *.Cu *.Adhes *.Mask)
(clearance 1) (zone_connect 2))
)
 
(module Mlab_Pin_Headers:Straight_1x03 (layer F.Cu) (tedit 5454C210) (tstamp 55D266C9)
(at 7.62 -13.97 90)
(descr "pin header straight 1x03")
(tags "pin header straight 1x03")
(path /55BA9255)
(fp_text reference J1 (at 0 -5.08 90) (layer F.SilkS) hide
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text value VS1 (at 0 5.08 90) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.15)))
)
(fp_text user 1 (at -1.651 -2.54 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.05)))
)
(fp_line (start -1.27 -3.81) (end 1.27 -3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 -3.81) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 3.81) (end -1.27 -3.81) (layer F.SilkS) (width 0.15))
(pad 3 thru_hole rect (at 0 2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 11 /VAUX))
(pad 2 thru_hole rect (at 0 0 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 12 "Net-(J1-Pad2)"))
(pad 1 thru_hole rect (at 0 -2.54 90) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(model Pin_Headers/Pin_Header_Straight_1x03.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE190)
(at 7.62 -6.35)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DAEB67)
(fp_text reference P1 (at -4.826 -3.556) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 17 /T+))
(pad 1 thru_hole rect (at 2.54 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 17 /T+))
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE196)
(at 7.62 -1.27)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DB0223)
(fp_text reference P2 (at -4.826 -3.556) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 16 /T-))
(pad 1 thru_hole rect (at 2.54 0 90) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 16 /T-))
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE19C)
(at 26.67 2.54 90)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DB1560)
(fp_text reference P3 (at -4.826 -3.556 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
(pad 1 thru_hole rect (at 2.54 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 1 GND))
)
 
(module Mlab_Con:WAGO256 (layer F.Cu) (tedit 54BBE4E3) (tstamp 55DAE1A2)
(at 21.59 2.54 90)
(descr "WAGO-Series 236, 2Stift, 1pol, RM 5mm,")
(tags "WAGO-Series 236, 2Stift, 1pol, RM 5mm, Anreibare Leiterplattenklemme")
(path /55DB1192)
(fp_text reference P4 (at -4.826 -3.556 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_text value _ (at 0.254 4.064 90) (layer F.SilkS)
(effects (font (thickness 0.3048)))
)
(fp_line (start 7.54 2.5) (end 7.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 7.54 -2) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5001) (end 1.54 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 2.5001) (end -7.46 -2.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 9.54 1.501) (end 9.54 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.501) (end 7.0401 -1.501) (layer F.SilkS) (width 0.15))
(fp_line (start 10.0401 -2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 6.54 2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5001) (end 3.54 -1.5001) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end -6.9601 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 1) (end 1.54 1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 1.5) (end 9.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 2) (end 10.0401 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.0399 -1) (end 1.54 -1) (layer F.SilkS) (width 0.15))
(fp_line (start 7.0401 -1.5) (end 9.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start 6.54 -2) (end 10.041 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 1.5) (end 6.54 1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 2) (end 1.0399 2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end 7.54 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 3.54 -1.5) (end 6.54 -1.5) (layer F.SilkS) (width 0.15))
(fp_line (start -6.9601 -2) (end 1.0399 -2) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 -2.5) (end 7.54 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.54 2.5) (end -7.46 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -7.46 -2.5) (end 1.54 -2.5) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at -2.46 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 19 /C+))
(pad 1 thru_hole rect (at 2.54 0 180) (size 3.81 3.81) (drill 1.3) (layers *.Cu *.Mask F.SilkS)
(net 19 /C+))
)
 
(gr_line (start 0.254 9.906) (end 0.254 -25.146) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.386 9.906) (end 0.254 9.906) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 40.386 -25.146) (end 40.386 9.906) (angle 90) (layer Edge.Cuts) (width 0.1))
(gr_line (start 0.254 -25.146) (end 40.386 -25.146) (angle 90) (layer Edge.Cuts) (width 0.1))
 
(segment (start 20.05176 -13.6243) (end 20.06242 -15.388) (width 0.25) (layer B.Cu) (net 1) (status 20))
(segment (start 35.392 -13.668) (end 35.392 -13.89334) (width 0.25) (layer B.Cu) (net 1) (status 30))
(segment (start 17.65376 -11.66776) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8CCAE) (status 30))
(segment (start 17.415 -11.429) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8CCD5) (status 30))
(segment (start 30.252 -0.978) (end 30.352 -0.878) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8D320) (status 30))
(segment (start 17.502 -11.516) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8D520))
(segment (start 17.6799 -11.6939) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8D556))
(segment (start 20.05176 -11.6939) (end 17.6799 -11.6939) (width 0.25) (layer B.Cu) (net 1))
(segment (start 18.782 -5.408) (end 20.082 -6.708) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8E9CB))
(segment (start 18.782 -4.868) (end 18.782 -5.408) (width 0.25) (layer B.Cu) (net 1))
(segment (start 25.212 -0.948) (end 24.312 -0.048) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EAEF))
(via (at 24.312 -0.048) (size 0.6) (layers F.Cu B.Cu) (net 1))
(segment (start 24.312 -0.048) (end 24.052 0.212) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EAFD))
(segment (start 26.707 -0.948) (end 25.212 -0.948) (width 0.25) (layer B.Cu) (net 1))
(segment (start 34.452 -13.668) (end 33.582 -12.798) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EB34))
(via (at 33.582 -12.798) (size 0.6) (layers F.Cu B.Cu) (net 1))
(segment (start 33.582 -12.798) (end 33.452 -12.668) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EB37))
(segment (start 33.452 -12.668) (end 32.702 -12.668) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EB38))
(segment (start 35.392 -13.668) (end 34.452 -13.668) (width 0.25) (layer B.Cu) (net 1))
(segment (start 25.38576 -12.3289) (end 24.2229 -12.3289) (width 0.25) (layer B.Cu) (net 1))
(segment (start 24.2723 -9.0777) (end 23.592 -9.758) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EC16))
(segment (start 25.38576 -9.0777) (end 24.2723 -9.0777) (width 0.25) (layer B.Cu) (net 1))
(segment (start 30.282 -0.948) (end 30.352 -0.878) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8F066))
(segment (start 26.707 -0.948) (end 30.282 -0.948) (width 0.25) (layer B.Cu) (net 1))
(segment (start 17.502 -11.516) (end 17.542 -11.556) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8F07D))
(segment (start 17.502 -9.34) (end 17.502 -11.516) (width 0.25) (layer B.Cu) (net 1))
(segment (start 23.5879 -11.6939) (end 22.602 -11.6939) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8E9EC))
(segment (start 23.592 -11.698) (end 23.5879 -11.6939) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8E9EB))
(via (at 23.592 -11.698) (size 0.6) (layers F.Cu B.Cu) (net 1))
(segment (start 23.592 -10.218) (end 23.592 -11.698) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8E9D5))
(segment (start 20.082 -6.708) (end 23.592 -10.218) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8E9D4))
(via (at 20.082 -6.708) (size 0.6) (layers F.Cu B.Cu) (net 1))
(segment (start 24.2229 -12.3289) (end 23.592 -11.698) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EBC8))
(segment (start 23.592 -9.758) (end 23.592 -11.698) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EC18))
(segment (start 32.192 -7.498) (end 26.512 -1.818) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EFDE))
(segment (start 32.192 -9.588) (end 32.192 -7.498) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EFDC))
(segment (start 32.282 -9.678) (end 32.192 -9.588) (width 0.25) (layer F.Cu) (net 1) (tstamp 55A8EFDB))
(via (at 32.282 -9.678) (size 0.6) (layers F.Cu B.Cu) (net 1))
(segment (start 32.092 -9.868) (end 32.282 -9.678) (width 0.25) (layer B.Cu) (net 1) (tstamp 55A8EFC7))
(segment (start 25.32 -7.71654) (end 25.38576 -7.7823) (width 0.25) (layer B.Cu) (net 2) (tstamp 55A8F051))
(segment (start 25.32 -4.968) (end 25.32 -7.71654) (width 0.25) (layer B.Cu) (net 2))
(segment (start 34.752 -0.378) (end 34.752 -0.40556) (width 0.25) (layer B.Cu) (net 3) (status 30))
(segment (start 31.942 -3.188) (end 34.752 -0.378) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D4FB))
(segment (start 29.692 -3.188) (end 31.942 -3.188) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D4F9))
(segment (start 27.912 -4.968) (end 29.692 -3.188) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D4F7))
(segment (start 27.622 -4.968) (end 27.912 -4.968) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D502))
(segment (start 26.844 -4.968) (end 27.622 -4.968) (width 0.25) (layer B.Cu) (net 3))
(segment (start 27.092 -4.968) (end 26.844 -4.968) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8D623))
(segment (start 26.844 -6.308) (end 27.342 -6.806) (width 0.25) (layer B.Cu) (net 3) (tstamp 55A8F048))
(segment (start 26.844 -4.968) (end 26.844 -6.308) (width 0.25) (layer B.Cu) (net 3))
(segment (start 27.2547 -8.4173) (end 27.342 -8.33) (width 0.25) (layer B.Cu) (net 4) (tstamp 55A8D5D9) (status 30))
(segment (start 25.38576 -8.4173) (end 27.2547 -8.4173) (width 0.25) (layer B.Cu) (net 4) (status 20))
(segment (start 24.03238 -15.54038) (end 24.04 -15.548) (width 0.25) (layer B.Cu) (net 5) (tstamp 55A8C9FF) (status 30))
(segment (start 25.38576 -13.6243) (end 24.03238 -15.54038) (width 0.25) (layer B.Cu) (net 5) (status 20))
(segment (start 27.53438 -14.98762) (end 27.542 -14.98) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8CA07) (status 30))
(segment (start 27.542 -14.98) (end 26.132 -14.98) (width 0.25) (layer B.Cu) (net 6))
(segment (start 27.542 -14.98) (end 30.18 -14.98) (width 0.25) (layer B.Cu) (net 6))
(segment (start 30.9917 -14.1683) (end 30.992 -14.168) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8CA04) (status 30))
(segment (start 26.132 -14.98) (end 25.564 -15.548) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8E8A4))
(segment (start 30.18 -14.98) (end 30.992 -14.168) (width 0.25) (layer B.Cu) (net 6) (tstamp 55A8ECC9))
(segment (start 27.36674 -13.456) (end 26.63036 -12.9893) (width 0.25) (layer B.Cu) (net 7) (tstamp 55A8CA0C) (status 10))
(segment (start 27.542 -13.456) (end 27.36674 -13.456) (width 0.25) (layer B.Cu) (net 7) (status 30))
(segment (start 26.63036 -12.9893) (end 25.38576 -12.9893) (width 0.25) (layer B.Cu) (net 7) (tstamp 55A8CA1D))
(segment (start 17.65884 -12.96316) (end 17.542 -13.08) (width 0.25) (layer B.Cu) (net 8) (tstamp 55A8CCB1) (status 30))
(segment (start 17.6327 -12.9893) (end 17.542 -13.08) (width 0.25) (layer B.Cu) (net 8) (tstamp 55A8D55B))
(segment (start 20.05176 -12.9893) (end 17.6327 -12.9893) (width 0.25) (layer B.Cu) (net 8))
(segment (start 28.0819 -9.7381) (end 29.052 -8.768) (width 0.25) (layer B.Cu) (net 9) (tstamp 55A8D5DD))
(segment (start 29.052 -8.768) (end 29.052 -7.178) (width 0.25) (layer B.Cu) (net 9) (tstamp 55A8D5EB))
(segment (start 29.052 -7.178) (end 30.952 -5.278) (width 0.25) (layer B.Cu) (net 9) (tstamp 55A8D5EF))
(segment (start 25.38576 -9.7381) (end 28.0819 -9.7381) (width 0.25) (layer B.Cu) (net 9))
(segment (start 34.784785 -11.693695) (end 25.744925 -11.693695) (width 0.25) (layer B.Cu) (net 10) (tstamp 55A8CBD2))
(segment (start 34.792 -9.49462) (end 34.784785 -11.693695) (width 0.25) (layer B.Cu) (net 10) (tstamp 55A8CBD1) (status 10))
(segment (start 34.792 -9.268) (end 34.792 -9.49462) (width 0.25) (layer B.Cu) (net 10) (status 30))
(segment (start 18.91724 -9.7381) (end 20.05176 -9.7381) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8CDB0))
(segment (start 17.5357 -7.7823) (end 17.502 -7.816) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8ECE6))
(segment (start 20.05176 -7.7823) (end 17.5357 -7.7823) (width 0.25) (layer B.Cu) (net 11))
(segment (start 18.902 -8.41984) (end 18.902 -9.72286) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8CDA5))
(segment (start 18.902 -9.72286) (end 18.91724 -9.7381) (width 0.25) (layer B.Cu) (net 11) (tstamp 55A8CDAA))
(segment (start 20.04414 -7.78992) (end 20.05176 -7.7823) (width 0.25) (layer B.Cu) (net 12) (tstamp 55A8CB55))
(segment (start 18.89946 -8.4173) (end 18.902 -8.41984) (width 0.25) (layer B.Cu) (net 13) (tstamp 55A8CDA0))
(segment (start 20.05176 -7.7823) (end 20.05176 -8.4173) (width 0.25) (layer B.Cu) (net 13))
(segment (start 20.05176 -8.4173) (end 18.89946 -8.4173) (width 0.25) (layer B.Cu) (net 13))
(segment (start 20.05176 -11.6939) (end 22.602 -11.6939) (width 0.25) (layer B.Cu) (net 14))
(segment (start 21.4211 -12.3289) (end 21.672 -12.5798) (width 0.25) (layer B.Cu) (net 15) (tstamp 55A8F285))
(segment (start 20.05176 -12.3289) (end 21.4211 -12.3289) (width 0.25) (layer B.Cu) (net 15))
(segment (start 21.672 -14.67758) (end 20.96158 -15.388) (width 0.25) (layer B.Cu) (net 15) (tstamp 55A8F294))
(segment (start 21.672 -12.5798) (end 21.672 -14.67758) (width 0.25) (layer B.Cu) (net 15) (tstamp 55A8F28D))
(segment (start 29.8869 -10.3731) (end 30.392 -9.868) (width 0.25) (layer B.Cu) (net 16) (tstamp 55A8EF0E))
(segment (start 25.38576 -10.3731) (end 29.8869 -10.3731) (width 0.25) (layer B.Cu) (net 16))
(segment (start 30.392 -9.868) (end 31.022 -9.868) (width 0.25) (layer B.Cu) (net 16))
(segment (start 30.392 -9.868) (end 32.092 -9.868) (width 0.25) (layer B.Cu) (net 16))
(segment (start 35.352 -4.678) (end 35.352 -5.478) (width 0.25) (layer B.Cu) (net 17) (status 30))
(segment (start 27.9265 -11.0335) (end 27.932 -11.028) (width 0.25) (layer B.Cu) (net 17) (tstamp 55A8D6CF))
(via (at 27.932 -11.028) (size 0.6) (layers F.Cu B.Cu) (net 17))
(segment (start 25.38576 -11.0335) (end 27.9265 -11.0335) (width 0.25) (layer B.Cu) (net 17))
(segment (start 27.932 -11.028) (end 27.962 -11.058) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6D3))
(segment (start 32.422 -11.058) (end 34.287 -9.193) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6DE))
(segment (start 27.962 -11.058) (end 32.422 -11.058) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6D4))
(segment (start 34.287 -9.193) (end 35.322 -8.158) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D77F))
(segment (start 35.322 -8.158) (end 35.322 -7.288) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6F7))
(segment (start 35.322 -7.288) (end 35.352 -7.258) (width 0.25) (layer F.Cu) (net 17) (tstamp 55A8D6FD))
(via (at 35.352 -7.258) (size 0.6) (layers F.Cu B.Cu) (net 17))
(segment (start 35.352 -7.258) (end 35.352 -4.678) (width 0.25) (layer B.Cu) (net 17) (tstamp 55A8D6FF))
(segment (start 21.6469 -10.3731) (end 20.05176 -10.3731) (width 0.25) (layer B.Cu) (net 18) (tstamp 55A8F02D))
(segment (start 22.822 -9.198) (end 21.6469 -10.3731) (width 0.25) (layer B.Cu) (net 18) (tstamp 55A8F028))
(segment (start 20.357 -0.948) (end 22.842 -0.948) (width 0.25) (layer B.Cu) (net 19))
(segment (start 24.052 0.212) (end 22.842 0.212) (width 0.25) (layer F.Cu) (net 19) (tstamp 55A8EAFE))
(segment (start 22.842 -0.948) (end 22.822 -0.968) (width 0.25) (layer B.Cu) (net 19) (tstamp 55A8F01E))
(segment (start 22.822 -0.968) (end 22.822 -9.198) (width 0.25) (layer B.Cu) (net 19) (tstamp 55A8F023))
(segment (start 21.972 -5.518) (end 21.322 -4.868) (width 0.25) (layer B.Cu) (net 19) (tstamp 55A8EC38))
(segment (start 21.1923 -9.0777) (end 21.972 -8.298) (width 0.25) (layer B.Cu) (net 19) (tstamp 55A8EC28))
(segment (start 21.972 -8.298) (end 21.972 -5.518) (width 0.25) (layer B.Cu) (net 19) (tstamp 55A8EC33))
(segment (start 20.05176 -9.0777) (end 21.1923 -9.0777) (width 0.25) (layer B.Cu) (net 19))
(segment (start 20.05176 -10.3731) (end 20.05176 -11.0335) (width 0.25) (layer B.Cu) (net 20))
 
(zone (net 1) (net_name GND) (layer B.Cu) (tstamp 55DAF2D2) (hatch edge 0.508)
(connect_pads yes (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy -1.27 -25.4) (xy 43.18 -25.4) (xy 43.18 11.43) (xy -1.27 11.43)
)
)
(filled_polygon
(pts
(xy 39.701 9.221) (xy 39.687715 9.221) (xy 39.687715 4.26269) (xy 39.687715 -21.13731) (xy 39.060741 -22.654704)
(xy 37.90081 -23.81666) (xy 36.384513 -24.446282) (xy 34.74269 -24.447715) (xy 33.225296 -23.820741) (xy 32.06334 -22.66081)
(xy 31.433718 -21.144513) (xy 31.432285 -19.50269) (xy 32.059259 -17.985296) (xy 33.21919 -16.82334) (xy 34.735487 -16.193718)
(xy 36.37731 -16.192285) (xy 37.894704 -16.819259) (xy 39.05666 -17.97919) (xy 39.686282 -19.495487) (xy 39.687715 -21.13731)
(xy 39.687715 4.26269) (xy 39.060741 2.745296) (xy 37.90081 1.58334) (xy 36.39325 0.957346) (xy 36.506927 0.882673)
(xy 36.649377 0.67164) (xy 36.69944 0.422) (xy 36.69944 -1.178) (xy 36.652463 -1.420123) (xy 36.512673 -1.632927)
(xy 36.30164 -1.775377) (xy 36.052 -1.82544) (xy 34.379362 -1.82544) (xy 32.479401 -3.725401) (xy 32.232839 -3.890148)
(xy 32.029676 -3.93056) (xy 32.252 -3.93056) (xy 32.494123 -3.977537) (xy 32.706927 -4.117327) (xy 32.849377 -4.32836)
(xy 32.89944 -4.578) (xy 32.89944 -5.978) (xy 32.852463 -6.220123) (xy 32.712673 -6.432927) (xy 32.50164 -6.575377)
(xy 32.252 -6.62544) (xy 30.679362 -6.62544) (xy 29.812 -7.492802) (xy 29.812 -7.92056) (xy 31.092 -7.92056)
(xy 31.334123 -7.967537) (xy 31.546927 -8.107327) (xy 31.689377 -8.31836) (xy 31.73944 -8.568) (xy 31.73944 -9.108)
(xy 31.793386 -9.108) (xy 31.991161 -8.975852) (xy 32.282 -8.918) (xy 32.57284 -8.975852) (xy 32.819401 -9.140599)
(xy 32.84456 -9.178253) (xy 32.84456 -8.568) (xy 32.891537 -8.325877) (xy 33.031327 -8.113073) (xy 33.24236 -7.970623)
(xy 33.492 -7.92056) (xy 34.692271 -7.92056) (xy 34.559808 -7.788327) (xy 34.417162 -7.444799) (xy 34.416838 -7.072833)
(xy 34.558883 -6.729057) (xy 34.592 -6.695882) (xy 34.592 -6.613799) (xy 34.409877 -6.578463) (xy 34.197073 -6.438673)
(xy 34.054623 -6.22764) (xy 34.00456 -5.978) (xy 34.00456 -3.378) (xy 34.051537 -3.135877) (xy 34.191327 -2.923073)
(xy 34.40236 -2.780623) (xy 34.652 -2.73056) (xy 36.052 -2.73056) (xy 36.294123 -2.777537) (xy 36.506927 -2.917327)
(xy 36.649377 -3.12836) (xy 36.69944 -3.378) (xy 36.69944 -5.978) (xy 36.652463 -6.220123) (xy 36.512673 -6.432927)
(xy 36.30164 -6.575377) (xy 36.112 -6.613408) (xy 36.112 -6.695538) (xy 36.144192 -6.727673) (xy 36.286838 -7.071201)
(xy 36.287162 -7.443167) (xy 36.145117 -7.786943) (xy 36.011732 -7.92056) (xy 36.092 -7.92056) (xy 36.334123 -7.967537)
(xy 36.546927 -8.107327) (xy 36.689377 -8.31836) (xy 36.73944 -8.568) (xy 36.73944 -9.968) (xy 36.692463 -10.210123)
(xy 36.552673 -10.422927) (xy 36.34164 -10.565377) (xy 36.092 -10.61544) (xy 35.548326 -10.61544) (xy 35.544781 -11.696188)
(xy 35.515621 -11.84031) (xy 35.486933 -11.984534) (xy 35.486226 -11.985591) (xy 35.485975 -11.986836) (xy 35.403895 -12.108809)
(xy 35.322186 -12.231096) (xy 35.321129 -12.231803) (xy 35.32042 -12.232856) (xy 35.19794 -12.314114) (xy 35.075624 -12.395843)
(xy 35.074377 -12.396092) (xy 35.073319 -12.396793) (xy 34.929036 -12.425002) (xy 34.784785 -12.453695) (xy 27.204972 -12.453695)
(xy 27.263929 -12.49106) (xy 28.1135 -12.49106) (xy 28.355623 -12.538037) (xy 28.568427 -12.677827) (xy 28.710877 -12.88886)
(xy 28.76094 -13.1385) (xy 28.76094 -13.7735) (xy 28.713963 -14.015623) (xy 28.580194 -14.219261) (xy 28.580694 -14.22)
(xy 29.04456 -14.22) (xy 29.04456 -13.368) (xy 29.091537 -13.125877) (xy 29.231327 -12.913073) (xy 29.44236 -12.770623)
(xy 29.692 -12.72056) (xy 32.292 -12.72056) (xy 32.534123 -12.767537) (xy 32.746927 -12.907327) (xy 32.889377 -13.11836)
(xy 32.93944 -13.368) (xy 32.93944 -14.968) (xy 32.892463 -15.210123) (xy 32.752673 -15.422927) (xy 32.54164 -15.565377)
(xy 32.292 -15.61544) (xy 30.570674 -15.61544) (xy 30.470839 -15.682148) (xy 30.18 -15.74) (xy 28.582336 -15.74)
(xy 28.574173 -15.752427) (xy 28.36314 -15.894877) (xy 28.1135 -15.94494) (xy 26.9705 -15.94494) (xy 26.728377 -15.897963)
(xy 26.52894 -15.766954) (xy 26.52894 -16.1195) (xy 26.481963 -16.361623) (xy 26.342173 -16.574427) (xy 26.13114 -16.716877)
(xy 25.8815 -16.76694) (xy 25.2465 -16.76694) (xy 25.004377 -16.719963) (xy 24.800739 -16.586195) (xy 24.60714 -16.716877)
(xy 24.3575 -16.76694) (xy 24.26944 -16.76694) (xy 24.26944 -19.558) (xy 24.26944 -21.082) (xy 24.222463 -21.324123)
(xy 24.082673 -21.536927) (xy 24.004458 -21.589723) (xy 24.076927 -21.637327) (xy 24.219377 -21.84836) (xy 24.26944 -22.098)
(xy 24.26944 -23.622) (xy 24.222463 -23.864123) (xy 24.082673 -24.076927) (xy 23.87164 -24.219377) (xy 23.622 -24.26944)
(xy 22.098 -24.26944) (xy 21.855877 -24.222463) (xy 21.643073 -24.082673) (xy 21.500623 -23.87164) (xy 21.45056 -23.622)
(xy 21.45056 -22.098) (xy 21.497537 -21.855877) (xy 21.637327 -21.643073) (xy 21.715541 -21.590278) (xy 21.643073 -21.542673)
(xy 21.500623 -21.33164) (xy 21.45056 -21.082) (xy 21.45056 -19.558) (xy 21.497537 -19.315877) (xy 21.637327 -19.103073)
(xy 21.84836 -18.960623) (xy 22.098 -18.91056) (xy 23.622 -18.91056) (xy 23.864123 -18.957537) (xy 24.076927 -19.097327)
(xy 24.219377 -19.30836) (xy 24.26944 -19.558) (xy 24.26944 -16.76694) (xy 23.7225 -16.76694) (xy 23.480377 -16.719963)
(xy 23.267573 -16.580173) (xy 23.125123 -16.36914) (xy 23.07506 -16.1195) (xy 23.07506 -14.9765) (xy 23.122037 -14.734377)
(xy 23.261827 -14.521573) (xy 23.47286 -14.379123) (xy 23.7225 -14.32906) (xy 23.957504 -14.32906) (xy 24.149415 -14.057357)
(xy 24.10332 -13.8275) (xy 24.10332 -13.4211) (xy 24.125863 -13.304912) (xy 24.10332 -13.1925) (xy 24.10332 -12.7861)
(xy 24.150297 -12.543977) (xy 24.284065 -12.34034) (xy 24.153383 -12.14674) (xy 24.10332 -11.8971) (xy 24.10332 -11.4907)
(xy 24.128367 -11.361602) (xy 24.10332 -11.2367) (xy 24.10332 -10.8303) (xy 24.128367 -10.701202) (xy 24.10332 -10.5763)
(xy 24.10332 -10.1699) (xy 24.125863 -10.053712) (xy 24.10332 -9.9413) (xy 24.10332 -9.5349) (xy 24.150297 -9.292777)
(xy 24.290087 -9.079973) (xy 24.293477 -9.077685) (xy 24.153383 -8.87014) (xy 24.10332 -8.6205) (xy 24.10332 -8.2141)
(xy 24.125863 -8.097912) (xy 24.10332 -7.9855) (xy 24.10332 -7.5791) (xy 24.150297 -7.336977) (xy 24.290087 -7.124173)
(xy 24.50112 -6.981723) (xy 24.56 -6.969915) (xy 24.56 -6.008337) (xy 24.547573 -6.000173) (xy 24.405123 -5.78914)
(xy 24.35506 -5.5395) (xy 24.35506 -4.3965) (xy 24.402037 -4.154377) (xy 24.541827 -3.941573) (xy 24.75286 -3.799123)
(xy 25.0025 -3.74906) (xy 25.6375 -3.74906) (xy 25.879623 -3.796037) (xy 26.08326 -3.929806) (xy 26.27686 -3.799123)
(xy 26.5265 -3.74906) (xy 27.1615 -3.74906) (xy 27.403623 -3.796037) (xy 27.616427 -3.935827) (xy 27.71836 -4.086838)
(xy 29.154599 -2.650599) (xy 29.401161 -2.485852) (xy 29.692 -2.428) (xy 31.627198 -2.428) (xy 32.816693 -1.238505)
(xy 32.80456 -1.178) (xy 32.80456 0.422) (xy 32.851537 0.664123) (xy 32.991327 0.876927) (xy 33.20236 1.019377)
(xy 33.452 1.06944) (xy 34.459153 1.06944) (xy 33.225296 1.579259) (xy 32.06334 2.73919) (xy 31.433718 4.255487)
(xy 31.432285 5.89731) (xy 32.059259 7.414704) (xy 33.21919 8.57666) (xy 34.735487 9.206282) (xy 36.37731 9.207715)
(xy 37.894704 8.580741) (xy 39.05666 7.42081) (xy 39.686282 5.904513) (xy 39.687715 4.26269) (xy 39.687715 9.221)
(xy 24.14244 9.221) (xy 24.14244 6.905) (xy 24.14244 3.095) (xy 24.095463 2.852877) (xy 23.955673 2.640073)
(xy 23.746818 2.499093) (xy 23.949927 2.365673) (xy 24.092377 2.15464) (xy 24.14244 1.905) (xy 24.14244 -1.905)
(xy 24.095463 -2.147123) (xy 23.955673 -2.359927) (xy 23.74464 -2.502377) (xy 23.582 -2.534993) (xy 23.582 -9.198)
(xy 23.524148 -9.488839) (xy 23.524148 -9.48884) (xy 23.359401 -9.735401) (xy 22.184301 -10.910501) (xy 22.149281 -10.9339)
(xy 22.602 -10.9339) (xy 22.892839 -10.991752) (xy 23.139401 -11.156499) (xy 23.304148 -11.403061) (xy 23.362 -11.6939)
(xy 23.304148 -11.984739) (xy 23.139401 -12.231301) (xy 22.892839 -12.396048) (xy 22.602 -12.4539) (xy 22.406956 -12.4539)
(xy 22.432 -12.5798) (xy 22.432 -14.67758) (xy 22.374148 -14.968419) (xy 22.209401 -15.214981) (xy 21.80841 -15.615972)
(xy 21.80841 -15.68772) (xy 21.761433 -15.929843) (xy 21.621643 -16.142647) (xy 21.41061 -16.285097) (xy 21.16097 -16.33516)
(xy 20.76219 -16.33516) (xy 20.520067 -16.288183) (xy 20.307263 -16.148393) (xy 20.164813 -15.93736) (xy 20.11475 -15.68772)
(xy 20.11475 -15.08828) (xy 20.161727 -14.846157) (xy 20.301517 -14.633353) (xy 20.51255 -14.490903) (xy 20.76219 -14.44084)
(xy 20.833938 -14.44084) (xy 20.912 -14.362778) (xy 20.912 -13.79477) (xy 20.68676 -13.83994) (xy 19.41676 -13.83994)
(xy 19.18944 -13.795835) (xy 19.18944 -19.558) (xy 19.18944 -21.082) (xy 19.142463 -21.324123) (xy 19.002673 -21.536927)
(xy 18.924458 -21.589723) (xy 18.996927 -21.637327) (xy 19.139377 -21.84836) (xy 19.18944 -22.098) (xy 19.18944 -23.622)
(xy 19.142463 -23.864123) (xy 19.002673 -24.076927) (xy 18.79164 -24.219377) (xy 18.542 -24.26944) (xy 17.018 -24.26944)
(xy 16.775877 -24.222463) (xy 16.563073 -24.082673) (xy 16.510277 -24.004459) (xy 16.462673 -24.076927) (xy 16.25164 -24.219377)
(xy 16.002 -24.26944) (xy 14.478 -24.26944) (xy 14.235877 -24.222463) (xy 14.023073 -24.082673) (xy 13.880623 -23.87164)
(xy 13.83056 -23.622) (xy 13.83056 -22.098) (xy 13.877537 -21.855877) (xy 14.017327 -21.643073) (xy 14.095541 -21.590278)
(xy 14.023073 -21.542673) (xy 13.880623 -21.33164) (xy 13.83056 -21.082) (xy 13.83056 -19.558) (xy 13.877537 -19.315877)
(xy 14.017327 -19.103073) (xy 14.22836 -18.960623) (xy 14.478 -18.91056) (xy 16.002 -18.91056) (xy 16.244123 -18.957537)
(xy 16.456927 -19.097327) (xy 16.509722 -19.175542) (xy 16.557327 -19.103073) (xy 16.76836 -18.960623) (xy 17.018 -18.91056)
(xy 18.542 -18.91056) (xy 18.784123 -18.957537) (xy 18.996927 -19.097327) (xy 19.139377 -19.30836) (xy 19.18944 -19.558)
(xy 19.18944 -13.795835) (xy 19.174637 -13.792963) (xy 19.108168 -13.7493) (xy 18.641916 -13.7493) (xy 18.574173 -13.852427)
(xy 18.36314 -13.994877) (xy 18.1135 -14.04494) (xy 16.9705 -14.04494) (xy 16.728377 -13.997963) (xy 16.515573 -13.858173)
(xy 16.373123 -13.64714) (xy 16.32306 -13.3975) (xy 16.32306 -12.7625) (xy 16.370037 -12.520377) (xy 16.509827 -12.307573)
(xy 16.72086 -12.165123) (xy 16.9705 -12.11506) (xy 18.1135 -12.11506) (xy 18.355623 -12.162037) (xy 18.458018 -12.2293)
(xy 18.76932 -12.2293) (xy 18.76932 -12.1257) (xy 18.791863 -12.009512) (xy 18.76932 -11.8971) (xy 18.76932 -11.4907)
(xy 18.794367 -11.361602) (xy 18.775415 -11.267098) (xy 18.772327 -11.270192) (xy 18.428799 -11.412838) (xy 18.056833 -11.413162)
(xy 17.713057 -11.271117) (xy 17.449808 -11.008327) (xy 17.307162 -10.664799) (xy 17.306838 -10.292833) (xy 17.448883 -9.949057)
(xy 17.711673 -9.685808) (xy 18.055201 -9.543162) (xy 18.142 -9.543087) (xy 18.142 -8.767203) (xy 18.0735 -8.78094)
(xy 16.9305 -8.78094) (xy 16.688377 -8.733963) (xy 16.475573 -8.594173) (xy 16.333123 -8.38314) (xy 16.28306 -8.1335)
(xy 16.28306 -7.4985) (xy 16.330037 -7.256377) (xy 16.469827 -7.043573) (xy 16.68086 -6.901123) (xy 16.9305 -6.85106)
(xy 18.0735 -6.85106) (xy 18.315623 -6.898037) (xy 18.50479 -7.0223) (xy 19.107007 -7.0223) (xy 19.16712 -6.981723)
(xy 19.41676 -6.93166) (xy 20.68676 -6.93166) (xy 20.928883 -6.978637) (xy 21.141687 -7.118427) (xy 21.212 -7.222593)
(xy 21.212 -6.27744) (xy 20.56 -6.27744) (xy 20.317877 -6.230463) (xy 20.105073 -6.090673) (xy 19.962623 -5.87964)
(xy 19.91256 -5.63) (xy 19.91256 -4.106) (xy 19.959537 -3.863877) (xy 20.099327 -3.651073) (xy 20.31036 -3.508623)
(xy 20.56 -3.45856) (xy 22.062 -3.45856) (xy 22.062 -2.55244) (xy 19.685 -2.55244) (xy 19.442877 -2.505463)
(xy 19.230073 -2.365673) (xy 19.087623 -2.15464) (xy 19.03756 -1.905) (xy 19.03756 1.905) (xy 19.084537 2.147123)
(xy 19.224327 2.359927) (xy 19.433181 2.500906) (xy 19.230073 2.634327) (xy 19.087623 2.84536) (xy 19.03756 3.095)
(xy 19.03756 6.905) (xy 19.084537 7.147123) (xy 19.224327 7.359927) (xy 19.43536 7.502377) (xy 19.685 7.55244)
(xy 23.495 7.55244) (xy 23.737123 7.505463) (xy 23.949927 7.365673) (xy 24.092377 7.15464) (xy 24.14244 6.905)
(xy 24.14244 9.221) (xy 17.23745 9.221) (xy 17.23745 3.18008) (xy 17.23745 0.62992) (xy 17.190473 0.387797)
(xy 17.050683 0.174993) (xy 16.83965 0.032543) (xy 16.59001 -0.01752) (xy 16.307162 -0.01752) (xy 16.307162 -4.703167)
(xy 16.165117 -5.046943) (xy 15.902327 -5.310192) (xy 15.897162 -5.312336) (xy 15.897162 -10.783167) (xy 15.755117 -11.126943)
(xy 15.492327 -11.390192) (xy 15.412 -11.423547) (xy 15.412 -12.368) (xy 15.354148 -12.658839) (xy 15.189401 -12.905401)
(xy 14.942839 -13.070148) (xy 14.652 -13.128) (xy 14.361161 -13.070148) (xy 14.114599 -12.905401) (xy 13.949852 -12.658839)
(xy 13.892 -12.368) (xy 13.892 -10.558) (xy 13.949852 -10.267161) (xy 14.114599 -10.020599) (xy 14.361161 -9.855852)
(xy 14.386809 -9.850751) (xy 14.431673 -9.805808) (xy 14.775201 -9.663162) (xy 15.147167 -9.662838) (xy 15.490943 -9.804883)
(xy 15.754192 -10.067673) (xy 15.896838 -10.411201) (xy 15.897162 -10.783167) (xy 15.897162 -5.312336) (xy 15.558799 -5.452838)
(xy 15.432 -5.452949) (xy 15.432 -6.328) (xy 15.374148 -6.618839) (xy 15.30451 -6.723059) (xy 15.30451 -7.41426)
(xy 15.257533 -7.656383) (xy 15.117743 -7.869187) (xy 14.90671 -8.011637) (xy 14.65707 -8.0617) (xy 13.15593 -8.0617)
(xy 12.913807 -8.014723) (xy 12.83944 -7.965871) (xy 12.83944 -17.018) (xy 12.83944 -18.542) (xy 12.792463 -18.784123)
(xy 12.652673 -18.996927) (xy 12.574458 -19.049723) (xy 12.646927 -19.097327) (xy 12.789377 -19.30836) (xy 12.83944 -19.558)
(xy 12.83944 -21.082) (xy 12.792463 -21.324123) (xy 12.652673 -21.536927) (xy 12.44164 -21.679377) (xy 12.192 -21.72944)
(xy 10.668 -21.72944) (xy 10.425877 -21.682463) (xy 10.213073 -21.542673) (xy 10.070623 -21.33164) (xy 10.02056 -21.082)
(xy 10.02056 -19.558) (xy 10.067537 -19.315877) (xy 10.207327 -19.103073) (xy 10.285541 -19.050278) (xy 10.213073 -19.002673)
(xy 10.070623 -18.79164) (xy 10.02056 -18.542) (xy 10.02056 -17.018) (xy 10.067537 -16.775877) (xy 10.207327 -16.563073)
(xy 10.41836 -16.420623) (xy 10.668 -16.37056) (xy 12.192 -16.37056) (xy 12.434123 -16.417537) (xy 12.646927 -16.557327)
(xy 12.789377 -16.76836) (xy 12.83944 -17.018) (xy 12.83944 -7.965871) (xy 12.71244 -7.882446) (xy 12.71244 -9.525)
(xy 12.665463 -9.767123) (xy 12.525673 -9.979927) (xy 12.31464 -10.122377) (xy 12.065 -10.17244) (xy 9.207715 -10.17244)
(xy 9.207715 -21.13731) (xy 8.580741 -22.654704) (xy 7.42081 -23.81666) (xy 5.904513 -24.446282) (xy 4.26269 -24.447715)
(xy 2.745296 -23.820741) (xy 1.58334 -22.66081) (xy 0.953718 -21.144513) (xy 0.952285 -19.50269) (xy 1.579259 -17.985296)
(xy 2.73919 -16.82334) (xy 4.255487 -16.193718) (xy 5.89731 -16.192285) (xy 7.414704 -16.819259) (xy 8.57666 -17.97919)
(xy 9.206282 -19.495487) (xy 9.207715 -21.13731) (xy 9.207715 -10.17244) (xy 8.255 -10.17244) (xy 8.012877 -10.125463)
(xy 7.800073 -9.985673) (xy 7.659093 -9.776819) (xy 7.525673 -9.979927) (xy 7.31464 -10.122377) (xy 7.065 -10.17244)
(xy 3.255 -10.17244) (xy 3.012877 -10.125463) (xy 2.800073 -9.985673) (xy 2.657623 -9.77464) (xy 2.60756 -9.525)
(xy 2.60756 -5.715) (xy 2.654537 -5.472877) (xy 2.794327 -5.260073) (xy 3.00536 -5.117623) (xy 3.191942 -5.080206)
(xy 3.012877 -5.045463) (xy 2.800073 -4.905673) (xy 2.657623 -4.69464) (xy 2.60756 -4.445) (xy 2.60756 -0.635)
(xy 2.654537 -0.392877) (xy 2.794327 -0.180073) (xy 3.00536 -0.037623) (xy 3.255 0.01244) (xy 7.065 0.01244)
(xy 7.307123 -0.034537) (xy 7.519927 -0.174327) (xy 7.660906 -0.383182) (xy 7.794327 -0.180073) (xy 8.00536 -0.037623)
(xy 8.255 0.01244) (xy 12.065 0.01244) (xy 12.307123 -0.034537) (xy 12.519927 -0.174327) (xy 12.662377 -0.38536)
(xy 12.71244 -0.635) (xy 12.71244 -2.279214) (xy 12.90629 -2.148363) (xy 13.15593 -2.0983) (xy 13.983896 -2.0983)
(xy 14.058399 -1.986799) (xy 14.304961 -1.822052) (xy 14.5958 -1.7642) (xy 14.886639 -1.822052) (xy 15.133201 -1.986799)
(xy 15.145901 -1.999499) (xy 15.209401 -2.062999) (xy 15.374148 -2.309561) (xy 15.432 -2.6004) (xy 15.432 -3.582948)
(xy 15.557167 -3.582838) (xy 15.900943 -3.724883) (xy 16.164192 -3.987673) (xy 16.306838 -4.331201) (xy 16.307162 -4.703167)
(xy 16.307162 -0.01752) (xy 13.88999 -0.01752) (xy 13.647867 0.029457) (xy 13.435063 0.169247) (xy 13.292613 0.38028)
(xy 13.24255 0.62992) (xy 13.24255 3.18008) (xy 13.289527 3.422203) (xy 13.429317 3.635007) (xy 13.64035 3.777457)
(xy 13.88999 3.82752) (xy 16.59001 3.82752) (xy 16.832133 3.780543) (xy 17.044937 3.640753) (xy 17.187387 3.42972)
(xy 17.23745 3.18008) (xy 17.23745 9.221) (xy 9.207715 9.221) (xy 9.207715 4.26269) (xy 8.580741 2.745296)
(xy 7.42081 1.58334) (xy 5.904513 0.953718) (xy 4.26269 0.952285) (xy 2.745296 1.579259) (xy 1.58334 2.73919)
(xy 0.953718 4.255487) (xy 0.952285 5.89731) (xy 1.579259 7.414704) (xy 2.73919 8.57666) (xy 4.255487 9.206282)
(xy 5.89731 9.207715) (xy 7.414704 8.580741) (xy 8.57666 7.42081) (xy 9.206282 5.904513) (xy 9.207715 4.26269)
(xy 9.207715 9.221) (xy 0.939 9.221) (xy 0.939 -24.461) (xy 39.701 -24.461) (xy 39.701 9.221)
)
)
)
(zone (net 1) (net_name GND) (layer F.Cu) (tstamp 55DAF2F0) (hatch edge 0.508)
(connect_pads yes (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy -2.54 -25.4) (xy 46.99 -25.4) (xy 46.99 12.7) (xy -2.54 12.7)
)
)
(filled_polygon
(pts
(xy 39.701 9.221) (xy 39.687715 9.221) (xy 39.687715 4.26269) (xy 39.687715 -21.13731) (xy 39.060741 -22.654704)
(xy 37.90081 -23.81666) (xy 36.384513 -24.446282) (xy 34.74269 -24.447715) (xy 33.225296 -23.820741) (xy 32.06334 -22.66081)
(xy 31.433718 -21.144513) (xy 31.432285 -19.50269) (xy 32.059259 -17.985296) (xy 33.21919 -16.82334) (xy 34.735487 -16.193718)
(xy 36.37731 -16.192285) (xy 37.894704 -16.819259) (xy 39.05666 -17.97919) (xy 39.686282 -19.495487) (xy 39.687715 -21.13731)
(xy 39.687715 4.26269) (xy 39.060741 2.745296) (xy 37.90081 1.58334) (xy 36.384513 0.953718) (xy 36.287162 0.953633)
(xy 36.287162 -7.443167) (xy 36.145117 -7.786943) (xy 36.082 -7.85017) (xy 36.082 -8.158) (xy 36.024148 -8.448839)
(xy 35.859401 -8.695401) (xy 34.824401 -9.730401) (xy 32.959401 -11.595401) (xy 32.712839 -11.760148) (xy 32.422 -11.818)
(xy 28.464515 -11.818) (xy 28.462327 -11.820192) (xy 28.118799 -11.962838) (xy 28.071923 -11.962879) (xy 26.809401 -13.225401)
(xy 26.562839 -13.390148) (xy 26.272 -13.448) (xy 24.26944 -13.448) (xy 24.26944 -19.558) (xy 24.26944 -21.082)
(xy 24.222463 -21.324123) (xy 24.082673 -21.536927) (xy 24.004458 -21.589723) (xy 24.076927 -21.637327) (xy 24.219377 -21.84836)
(xy 24.26944 -22.098) (xy 24.26944 -23.622) (xy 24.222463 -23.864123) (xy 24.082673 -24.076927) (xy 23.87164 -24.219377)
(xy 23.622 -24.26944) (xy 22.098 -24.26944) (xy 21.855877 -24.222463) (xy 21.643073 -24.082673) (xy 21.500623 -23.87164)
(xy 21.45056 -23.622) (xy 21.45056 -22.098) (xy 21.497537 -21.855877) (xy 21.637327 -21.643073) (xy 21.715541 -21.590278)
(xy 21.643073 -21.542673) (xy 21.500623 -21.33164) (xy 21.45056 -21.082) (xy 21.45056 -19.558) (xy 21.497537 -19.315877)
(xy 21.637327 -19.103073) (xy 21.84836 -18.960623) (xy 22.098 -18.91056) (xy 23.622 -18.91056) (xy 23.864123 -18.957537)
(xy 24.076927 -19.097327) (xy 24.219377 -19.30836) (xy 24.26944 -19.558) (xy 24.26944 -13.448) (xy 20.992 -13.448)
(xy 20.701161 -13.390148) (xy 20.454599 -13.225401) (xy 19.18944 -11.960242) (xy 19.18944 -19.558) (xy 19.18944 -21.082)
(xy 19.142463 -21.324123) (xy 19.002673 -21.536927) (xy 18.924458 -21.589723) (xy 18.996927 -21.637327) (xy 19.139377 -21.84836)
(xy 19.18944 -22.098) (xy 19.18944 -23.622) (xy 19.142463 -23.864123) (xy 19.002673 -24.076927) (xy 18.79164 -24.219377)
(xy 18.542 -24.26944) (xy 17.018 -24.26944) (xy 16.775877 -24.222463) (xy 16.563073 -24.082673) (xy 16.510277 -24.004459)
(xy 16.462673 -24.076927) (xy 16.25164 -24.219377) (xy 16.002 -24.26944) (xy 14.478 -24.26944) (xy 14.235877 -24.222463)
(xy 14.023073 -24.082673) (xy 13.880623 -23.87164) (xy 13.83056 -23.622) (xy 13.83056 -22.098) (xy 13.877537 -21.855877)
(xy 14.017327 -21.643073) (xy 14.095541 -21.590278) (xy 14.023073 -21.542673) (xy 13.880623 -21.33164) (xy 13.83056 -21.082)
(xy 13.83056 -19.558) (xy 13.877537 -19.315877) (xy 14.017327 -19.103073) (xy 14.22836 -18.960623) (xy 14.478 -18.91056)
(xy 16.002 -18.91056) (xy 16.244123 -18.957537) (xy 16.456927 -19.097327) (xy 16.509722 -19.175542) (xy 16.557327 -19.103073)
(xy 16.76836 -18.960623) (xy 17.018 -18.91056) (xy 18.542 -18.91056) (xy 18.784123 -18.957537) (xy 18.996927 -19.097327)
(xy 19.139377 -19.30836) (xy 19.18944 -19.558) (xy 19.18944 -11.960242) (xy 19.014599 -11.785401) (xy 18.849852 -11.538839)
(xy 18.792412 -11.250072) (xy 18.772327 -11.270192) (xy 18.428799 -11.412838) (xy 18.056833 -11.413162) (xy 17.713057 -11.271117)
(xy 17.679882 -11.238) (xy 15.644253 -11.238) (xy 15.492327 -11.390192) (xy 15.148799 -11.532838) (xy 14.776833 -11.533162)
(xy 14.433057 -11.391117) (xy 14.169808 -11.128327) (xy 14.027162 -10.784799) (xy 14.026838 -10.412833) (xy 14.168883 -10.069057)
(xy 14.431673 -9.805808) (xy 14.775201 -9.663162) (xy 15.147167 -9.662838) (xy 15.280669 -9.718) (xy 17.679537 -9.718)
(xy 17.711673 -9.685808) (xy 18.055201 -9.543162) (xy 18.427167 -9.542838) (xy 18.770943 -9.684883) (xy 18.792 -9.705904)
(xy 18.792 -9.012802) (xy 15.23232 -5.453123) (xy 15.186833 -5.453162) (xy 14.843057 -5.311117) (xy 14.579808 -5.048327)
(xy 14.437162 -4.704799) (xy 14.436838 -4.332833) (xy 14.578883 -3.989057) (xy 14.841673 -3.725808) (xy 15.185201 -3.583162)
(xy 15.557167 -3.582838) (xy 15.900943 -3.724883) (xy 16.164192 -3.987673) (xy 16.306838 -4.331201) (xy 16.306878 -4.378077)
(xy 20.089401 -8.160599) (xy 20.254148 -8.407161) (xy 20.312 -8.698) (xy 20.312 -10.933198) (xy 21.306802 -11.928)
(xy 25.957198 -11.928) (xy 26.996877 -10.888321) (xy 26.996838 -10.842833) (xy 27.138883 -10.499057) (xy 27.401673 -10.235808)
(xy 27.745201 -10.093162) (xy 28.117167 -10.092838) (xy 28.460943 -10.234883) (xy 28.52417 -10.298) (xy 32.107198 -10.298)
(xy 33.749599 -8.655599) (xy 34.562 -7.843198) (xy 34.562 -7.790516) (xy 34.559808 -7.788327) (xy 34.417162 -7.444799)
(xy 34.416838 -7.072833) (xy 34.558883 -6.729057) (xy 34.821673 -6.465808) (xy 35.165201 -6.323162) (xy 35.537167 -6.322838)
(xy 35.880943 -6.464883) (xy 36.144192 -6.727673) (xy 36.286838 -7.071201) (xy 36.287162 -7.443167) (xy 36.287162 0.953633)
(xy 34.74269 0.952285) (xy 33.225296 1.579259) (xy 32.06334 2.73919) (xy 31.433718 4.255487) (xy 31.432285 5.89731)
(xy 32.059259 7.414704) (xy 33.21919 8.57666) (xy 34.735487 9.206282) (xy 36.37731 9.207715) (xy 37.894704 8.580741)
(xy 39.05666 7.42081) (xy 39.686282 5.904513) (xy 39.687715 4.26269) (xy 39.687715 9.221) (xy 24.812 9.221)
(xy 24.812 0.212) (xy 24.754148 -0.078839) (xy 24.589401 -0.325401) (xy 24.342839 -0.490148) (xy 24.14244 -0.530011)
(xy 24.14244 -1.905) (xy 24.095463 -2.147123) (xy 23.955673 -2.359927) (xy 23.74464 -2.502377) (xy 23.495 -2.55244)
(xy 22.73144 -2.55244) (xy 22.73144 -4.106) (xy 22.73144 -5.63) (xy 22.684463 -5.872123) (xy 22.544673 -6.084927)
(xy 22.33364 -6.227377) (xy 22.084 -6.27744) (xy 20.56 -6.27744) (xy 20.317877 -6.230463) (xy 20.105073 -6.090673)
(xy 19.962623 -5.87964) (xy 19.91256 -5.63) (xy 19.91256 -4.106) (xy 19.959537 -3.863877) (xy 20.099327 -3.651073)
(xy 20.31036 -3.508623) (xy 20.56 -3.45856) (xy 22.084 -3.45856) (xy 22.326123 -3.505537) (xy 22.538927 -3.645327)
(xy 22.681377 -3.85636) (xy 22.73144 -4.106) (xy 22.73144 -2.55244) (xy 19.685 -2.55244) (xy 19.442877 -2.505463)
(xy 19.230073 -2.365673) (xy 19.087623 -2.15464) (xy 19.03756 -1.905) (xy 19.03756 1.905) (xy 19.084537 2.147123)
(xy 19.224327 2.359927) (xy 19.433181 2.500906) (xy 19.230073 2.634327) (xy 19.087623 2.84536) (xy 19.03756 3.095)
(xy 19.03756 6.905) (xy 19.084537 7.147123) (xy 19.224327 7.359927) (xy 19.43536 7.502377) (xy 19.685 7.55244)
(xy 23.495 7.55244) (xy 23.737123 7.505463) (xy 23.949927 7.365673) (xy 24.092377 7.15464) (xy 24.14244 6.905)
(xy 24.14244 3.095) (xy 24.095463 2.852877) (xy 23.955673 2.640073) (xy 23.746818 2.499093) (xy 23.949927 2.365673)
(xy 24.092377 2.15464) (xy 24.14244 1.905) (xy 24.14244 0.95401) (xy 24.342839 0.914148) (xy 24.589401 0.749401)
(xy 24.754148 0.502839) (xy 24.812 0.212) (xy 24.812 9.221) (xy 12.83944 9.221) (xy 12.83944 -17.018)
(xy 12.83944 -18.542) (xy 12.792463 -18.784123) (xy 12.652673 -18.996927) (xy 12.574458 -19.049723) (xy 12.646927 -19.097327)
(xy 12.789377 -19.30836) (xy 12.83944 -19.558) (xy 12.83944 -21.082) (xy 12.792463 -21.324123) (xy 12.652673 -21.536927)
(xy 12.44164 -21.679377) (xy 12.192 -21.72944) (xy 10.668 -21.72944) (xy 10.425877 -21.682463) (xy 10.213073 -21.542673)
(xy 10.070623 -21.33164) (xy 10.02056 -21.082) (xy 10.02056 -19.558) (xy 10.067537 -19.315877) (xy 10.207327 -19.103073)
(xy 10.285541 -19.050278) (xy 10.213073 -19.002673) (xy 10.070623 -18.79164) (xy 10.02056 -18.542) (xy 10.02056 -17.018)
(xy 10.067537 -16.775877) (xy 10.207327 -16.563073) (xy 10.41836 -16.420623) (xy 10.668 -16.37056) (xy 12.192 -16.37056)
(xy 12.434123 -16.417537) (xy 12.646927 -16.557327) (xy 12.789377 -16.76836) (xy 12.83944 -17.018) (xy 12.83944 9.221)
(xy 12.71244 9.221) (xy 12.71244 -0.635) (xy 12.71244 -4.445) (xy 12.665463 -4.687123) (xy 12.525673 -4.899927)
(xy 12.31464 -5.042377) (xy 12.128057 -5.079795) (xy 12.307123 -5.114537) (xy 12.519927 -5.254327) (xy 12.662377 -5.46536)
(xy 12.71244 -5.715) (xy 12.71244 -9.525) (xy 12.665463 -9.767123) (xy 12.525673 -9.979927) (xy 12.31464 -10.122377)
(xy 12.065 -10.17244) (xy 9.207715 -10.17244) (xy 9.207715 -21.13731) (xy 8.580741 -22.654704) (xy 7.42081 -23.81666)
(xy 5.904513 -24.446282) (xy 4.26269 -24.447715) (xy 2.745296 -23.820741) (xy 1.58334 -22.66081) (xy 0.953718 -21.144513)
(xy 0.952285 -19.50269) (xy 1.579259 -17.985296) (xy 2.73919 -16.82334) (xy 4.255487 -16.193718) (xy 5.89731 -16.192285)
(xy 7.414704 -16.819259) (xy 8.57666 -17.97919) (xy 9.206282 -19.495487) (xy 9.207715 -21.13731) (xy 9.207715 -10.17244)
(xy 8.255 -10.17244) (xy 8.012877 -10.125463) (xy 7.800073 -9.985673) (xy 7.659093 -9.776819) (xy 7.525673 -9.979927)
(xy 7.31464 -10.122377) (xy 7.065 -10.17244) (xy 3.255 -10.17244) (xy 3.012877 -10.125463) (xy 2.800073 -9.985673)
(xy 2.657623 -9.77464) (xy 2.60756 -9.525) (xy 2.60756 -5.715) (xy 2.654537 -5.472877) (xy 2.794327 -5.260073)
(xy 3.00536 -5.117623) (xy 3.191942 -5.080206) (xy 3.012877 -5.045463) (xy 2.800073 -4.905673) (xy 2.657623 -4.69464)
(xy 2.60756 -4.445) (xy 2.60756 -0.635) (xy 2.654537 -0.392877) (xy 2.794327 -0.180073) (xy 3.00536 -0.037623)
(xy 3.255 0.01244) (xy 7.065 0.01244) (xy 7.307123 -0.034537) (xy 7.519927 -0.174327) (xy 7.660906 -0.383182)
(xy 7.794327 -0.180073) (xy 8.00536 -0.037623) (xy 8.255 0.01244) (xy 12.065 0.01244) (xy 12.307123 -0.034537)
(xy 12.519927 -0.174327) (xy 12.662377 -0.38536) (xy 12.71244 -0.635) (xy 12.71244 9.221) (xy 9.207715 9.221)
(xy 9.207715 4.26269) (xy 8.580741 2.745296) (xy 7.42081 1.58334) (xy 5.904513 0.953718) (xy 4.26269 0.952285)
(xy 2.745296 1.579259) (xy 1.58334 2.73919) (xy 0.953718 4.255487) (xy 0.952285 5.89731) (xy 1.579259 7.414704)
(xy 2.73919 8.57666) (xy 4.255487 9.206282) (xy 5.89731 9.207715) (xy 7.414704 8.580741) (xy 8.57666 7.42081)
(xy 9.206282 5.904513) (xy 9.207715 4.26269) (xy 9.207715 9.221) (xy 0.939 9.221) (xy 0.939 -24.461)
(xy 39.701 -24.461) (xy 39.701 9.221)
)
)
)
)
/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.net
1,373 → 1,402
(export (version D)
(design
(source /home/kaklik/svn/svnMLAB/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.sch)
(date Út 18. srpen 2015, 00:54:26 CEST)
(tool "Eeschema 0.201508170901+6097~28~ubuntu14.04.1-product")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title NAME)
(company "Mlab www.mlab.cz")
(rev REV)
(date "%d. %m. %Y")
(source Energy_harv.sch)
(comment (number 1) (value "Short description \\nTwo lines are maximum"))
(comment (number 2) (value "nickname <email@example.com>"))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref C2)
(value 1nF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A639B3))
(comp (ref C3)
(value 470pF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A63C47))
(comp (ref T1)
(value Step-Up_TRANSFO)
(footprint Energy_harv:Step-Up_TRANSF)
(libsource (lib Energy_harv-cache) (part Step-Up_TRANSFO))
(sheetpath (names /) (tstamps /))
(tstamp 55A64E73))
(comp (ref T2)
(value Step-Up_TRANSFO)
(footprint Energy_harv:Step-Up_TRANSF)
(libsource (lib Energy_harv-cache) (part Step-Up_TRANSFO))
(sheetpath (names /) (tstamps /))
(tstamp 55A650B1))
(comp (ref C4)
(value 1nF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A6512C))
(comp (ref C5)
(value 470pF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A65213))
(comp (ref C1)
(value 47uF)
(footprint Mlab_C:TantalC_SizeA_Reflow)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A65C0C))
(comp (ref U1)
(value THERM_GEN)
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib Energy_harv-cache) (part THERM_GEN))
(sheetpath (names /) (tstamps /))
(tstamp 55A669FE))
(comp (ref C6)
(value 1uF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A66F73))
(comp (ref C9)
(value 1-10F)
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib device) (part CP))
(sheetpath (names /) (tstamps /))
(tstamp 55A671D6))
(comp (ref C8)
(value 470uF)
(footprint Mlab_C:TantalC_SizeD_Reflow)
(libsource (lib device) (part CP))
(sheetpath (names /) (tstamps /))
(tstamp 55A6722B))
(comp (ref U2)
(value LTC3109GN)
(footprint SMD_Packages:SSOP-20)
(libsource (lib dc-dc) (part LTC3109GN))
(sheetpath (names /) (tstamps /))
(tstamp 55A67D56))
(comp (ref J2)
(value VS2)
(footprint Mlab_Pin_Headers:Straight_1x03)
(libsource (lib header) (part HEADER_1x03))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9377))
(comp (ref C7)
(value 2,2uF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A6727D))
(comp (ref J4)
(value "Power Good")
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib header) (part HEADER_2x01_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9CD4))
(comp (ref J5)
(value Vout2)
(footprint Mlab_Pin_Headers:Straight_2x03)
(libsource (lib header) (part HEADER_2x03_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9E0C))
(comp (ref J6)
(value Vout)
(footprint Mlab_Pin_Headers:Straight_2x03)
(libsource (lib header) (part HEADER_2x03_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9EE7))
(comp (ref J3)
(value VOUT2_EN)
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib header) (part HEADER_2x01_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BAAB6B))
(comp (ref M1)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA76BA))
(comp (ref M2)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA779F))
(comp (ref M3)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA77E6))
(comp (ref M4)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA77EC))
(comp (ref J1)
(value VS1)
(footprint Mlab_Pin_Headers:Straight_1x03)
(libsource (lib header) (part HEADER_1x03))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9255)))
(libparts
(libpart (lib dc-dc) (part LTC3109GN)
(description "LTC3109, Auto-Polarity, Ultralow Voltage Step-Up Converter and Power Manager, ")
(docs http://www.linear.com/docs/29537)
(fields
(field (name Reference) U)
(field (name Value) LTC3109GN))
(pins
(pin (num 1) (name VS1) (type input))
(pin (num 2) (name VS2) (type input))
(pin (num 3) (name VSTORE) (type output))
(pin (num 4) (name VAUX) (type output))
(pin (num 5) (name V_OUT) (type output))
(pin (num 6) (name V_OUT2) (type output))
(pin (num 7) (name V_OUT2_EN) (type input))
(pin (num 8) (name PGOOD) (type output))
(pin (num 9) (name VLDO) (type output))
(pin (num 10) (name GND) (type BiDi))
(pin (num 11) (name C1B) (type input))
(pin (num 12) (name C2B) (type input))
(pin (num 13) (name GND) (type BiDi))
(pin (num 14) (name SWB) (type input))
(pin (num 15) (name V_INB) (type input))
(pin (num 16) (name V_INA) (type input))
(pin (num 17) (name SWA) (type input))
(pin (num 18) (name GND) (type BiDi))
(pin (num 19) (name C2A) (type input))
(pin (num 20) (name C1A) (type input))))
(libpart (lib device) (part C)
(description "Unpolarized capacitor")
(footprints
(fp C?)
(fp C_????_*)
(fp C_????)
(fp SMD*_c)
(fp Capacitor*))
(fields
(field (name Reference) C)
(field (name Value) C))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part CP)
(description "Polarised capacitor")
(footprints
(fp CP*)
(fp Elko*)
(fp TantalC*)
(fp C*elec)
(fp c_elec*)
(fp SMD*_Pol))
(fields
(field (name Reference) C)
(field (name Value) CP))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib header) (part HEADER_1x03)
(description "1x03 2.54 mm pitch header")
(fields
(field (name Reference) J)
(field (name Value) HEADER_1x03))
(pins
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))
(pin (num 3) (name ~) (type input))))
(libpart (lib header) (part HEADER_2x01_PARALLEL)
(description "2x01 2.54 mm pitch header, 2 pins next to each other are connected")
(fields
(field (name Reference) J)
(field (name Value) HEADER_2x01_PARALLEL))
(pins
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))))
(libpart (lib header) (part HEADER_2x03_PARALLEL)
(description "2x03 2.54 mm pitch header, 2 pins next to each other are connected")
(fields
(field (name Reference) J)
(field (name Value) HEADER_2x03_PARALLEL))
(pins
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))
(pin (num 3) (name ~) (type input))
(pin (num 4) (name ~) (type input))
(pin (num 5) (name ~) (type input))
(pin (num 6) (name ~) (type input))))
(libpart (lib mechanical) (part HOLE)
(fields
(field (name Reference) M)
(field (name Value) HOLE))
(pins
(pin (num 1) (name ~) (type input))))
(libpart (lib Energy_harv-cache) (part Step-Up_TRANSFO)
(fields
(field (name Reference) T)
(field (name Value) Step-Up_TRANSFO))
(pins
(pin (num 1) (name AA) (type passive))
(pin (num 2) (name AB) (type passive))
(pin (num 3) (name SA) (type passive))
(pin (num 4) (name SB) (type passive))))
(libpart (lib Energy_harv-cache) (part THERM_GEN)
(fields
(field (name Reference) U)
(field (name Value) THERM_GEN))
(pins
(pin (num 1) (name ~) (type BiDi))
(pin (num 2) (name ~) (type BiDi)))))
(libraries
(library (logical dc-dc)
(uri /home/kaklik/git/kicad-library/library/dc-dc.lib))
(library (logical device)
(uri /home/kaklik/git/kicad-library/library/device.lib))
(library (logical header)
(uri /usr/share/kicad/library/header.lib))
(library (logical mechanical)
(uri /usr/share/kicad/library/mechanical.lib))
(library (logical Energy_harv-cache)
(uri /home/kaklik/svn/svnMLAB/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv-cache.lib)))
(nets
(net (code 1) (name GND)
(node (ref J6) (pin 6))
(node (ref J6) (pin 5))
(node (ref J1) (pin 1))
(node (ref C6) (pin 2))
(node (ref U2) (pin 13))
(node (ref U2) (pin 10))
(node (ref C9) (pin 2))
(node (ref C8) (pin 2))
(node (ref T1) (pin 3))
(node (ref T2) (pin 3))
(node (ref U2) (pin 18))
(node (ref J2) (pin 1))
(node (ref J6) (pin 2))
(node (ref J6) (pin 1))
(node (ref J5) (pin 6))
(node (ref J5) (pin 5))
(node (ref J5) (pin 2))
(node (ref J5) (pin 1))
(node (ref C7) (pin 2)))
(net (code 2) (name /VAUX)
(node (ref J2) (pin 3))
(node (ref J1) (pin 3))
(node (ref C6) (pin 1))
(node (ref U2) (pin 4)))
(net (code 3) (name "Net-(J4-Pad1)")
(node (ref J4) (pin 1))
(node (ref J4) (pin 2))
(node (ref U2) (pin 8)))
(net (code 4) (name "Net-(C9-Pad1)")
(node (ref C9) (pin 1))
(node (ref U2) (pin 3)))
(net (code 5) (name "Net-(J1-Pad2)")
(node (ref J1) (pin 2))
(node (ref U2) (pin 1)))
(net (code 6) (name "Net-(J2-Pad2)")
(node (ref U2) (pin 2))
(node (ref J2) (pin 2)))
(net (code 7) (name "Net-(M2-Pad1)")
(node (ref M2) (pin 1)))
(net (code 8) (name "Net-(J5-Pad3)")
(node (ref U2) (pin 6))
(node (ref J5) (pin 3))
(node (ref J5) (pin 4)))
(net (code 9) (name "Net-(C8-Pad1)")
(node (ref J6) (pin 4))
(node (ref U2) (pin 5))
(node (ref C8) (pin 1))
(node (ref J6) (pin 3)))
(net (code 10) (name "Net-(J3-Pad1)")
(node (ref U2) (pin 7))
(node (ref J3) (pin 2))
(node (ref J3) (pin 1)))
(net (code 11) (name "Net-(M1-Pad1)")
(node (ref M1) (pin 1)))
(net (code 12) (name "Net-(M3-Pad1)")
(node (ref M3) (pin 1)))
(net (code 13) (name "Net-(M4-Pad1)")
(node (ref M4) (pin 1)))
(net (code 15) (name "Net-(C7-Pad1)")
(node (ref C7) (pin 1))
(node (ref U2) (pin 9)))
(net (code 16) (name "Net-(T1-Pad2)")
(node (ref U2) (pin 17))
(node (ref T1) (pin 2)))
(net (code 17) (name "Net-(C4-Pad1)")
(node (ref C4) (pin 1))
(node (ref U2) (pin 11)))
(net (code 18) (name "Net-(C5-Pad1)")
(node (ref C5) (pin 1))
(node (ref U2) (pin 12)))
(net (code 19) (name "Net-(C4-Pad2)")
(node (ref C5) (pin 2))
(node (ref C4) (pin 2))
(node (ref T2) (pin 4)))
(net (code 20) (name "Net-(C2-Pad2)")
(node (ref C2) (pin 2))
(node (ref C3) (pin 2))
(node (ref T1) (pin 4)))
(net (code 21) (name "Net-(C1-Pad1)")
(node (ref U1) (pin 2))
(node (ref U2) (pin 15))
(node (ref T1) (pin 1))
(node (ref C1) (pin 1)))
(net (code 22) (name "Net-(C3-Pad1)")
(node (ref U2) (pin 19))
(node (ref C3) (pin 1)))
(net (code 23) (name "Net-(C2-Pad1)")
(node (ref U2) (pin 20))
(node (ref C2) (pin 1)))
(net (code 24) (name "Net-(T2-Pad2)")
(node (ref T2) (pin 2))
(node (ref U2) (pin 14)))
(net (code 25) (name "Net-(C1-Pad2)")
(node (ref U2) (pin 16))
(node (ref C1) (pin 2))
(node (ref T2) (pin 1))
(node (ref U1) (pin 1)))))
(export (version D)
(design
(source C:/Chroust/Zaloha/stare/MLAB/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.sch)
(date "24. 8. 2015 11:15:54")
(tool "Eeschema (2015-05-13 BZR 5653)-product")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title NAME)
(company "Mlab www.mlab.cz")
(rev REV)
(date "%d. %m. %Y")
(source Energy_harv.sch)
(comment (number 1) (value "Short description \\nTwo lines are maximum"))
(comment (number 2) (value "nickname <email@example.com>"))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref C2)
(value 1nF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A639B3))
(comp (ref C3)
(value 470pF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A63C47))
(comp (ref T1)
(value Step-Up_TRANSFO)
(footprint Energy_harv:Step-Up_TRANSF)
(libsource (lib Energy_harv-cache) (part Step-Up_TRANSFO))
(sheetpath (names /) (tstamps /))
(tstamp 55A64E73))
(comp (ref T2)
(value Step-Up_TRANSFO)
(footprint Energy_harv:Step-Up_TRANSF)
(libsource (lib Energy_harv-cache) (part Step-Up_TRANSFO))
(sheetpath (names /) (tstamps /))
(tstamp 55A650B1))
(comp (ref C4)
(value 1nF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A6512C))
(comp (ref C5)
(value 470pF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A65213))
(comp (ref C1)
(value 47uF)
(footprint Mlab_C:TantalC_SizeA_Reflow)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A65C0C))
(comp (ref U1)
(value THERM_GEN)
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib Energy_harv-cache) (part THERM_GEN))
(sheetpath (names /) (tstamps /))
(tstamp 55A669FE))
(comp (ref C6)
(value 1uF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A66F73))
(comp (ref C9)
(value 1-10F)
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib device) (part CP))
(sheetpath (names /) (tstamps /))
(tstamp 55A671D6))
(comp (ref C8)
(value 470uF)
(footprint Mlab_C:TantalC_SizeD_Reflow)
(libsource (lib device) (part CP))
(sheetpath (names /) (tstamps /))
(tstamp 55A6722B))
(comp (ref U2)
(value LTC3109GN)
(footprint SMD_Packages:SSOP-20)
(libsource (lib Energy_harv-cache) (part LTC3109GN))
(sheetpath (names /) (tstamps /))
(tstamp 55A67D56))
(comp (ref J2)
(value VS2)
(footprint Mlab_Pin_Headers:Straight_1x03)
(libsource (lib header) (part HEADER_1x03))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9377))
(comp (ref C7)
(value 2,2uF)
(footprint Mlab_C:SMD-0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 55A6727D))
(comp (ref J4)
(value "Power Good")
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib header) (part HEADER_2x01_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9CD4))
(comp (ref J5)
(value Vout2)
(footprint Mlab_Pin_Headers:Straight_2x03)
(libsource (lib header) (part HEADER_2x03_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9E0C))
(comp (ref J6)
(value Vout)
(footprint Mlab_Pin_Headers:Straight_2x03)
(libsource (lib header) (part HEADER_2x03_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9EE7))
(comp (ref J3)
(value VOUT2_EN)
(footprint Mlab_Pin_Headers:Straight_1x02)
(libsource (lib header) (part HEADER_2x01_PARALLEL))
(sheetpath (names /) (tstamps /))
(tstamp 55BAAB6B))
(comp (ref M1)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA76BA))
(comp (ref M2)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA779F))
(comp (ref M3)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA77E6))
(comp (ref M4)
(value HOLE)
(footprint Mlab_Mechanical:MountingHole_3mm)
(libsource (lib mechanical) (part HOLE))
(sheetpath (names /) (tstamps /))
(tstamp 55CA77EC))
(comp (ref J1)
(value VS1)
(footprint Mlab_Pin_Headers:Straight_1x03)
(libsource (lib header) (part HEADER_1x03))
(sheetpath (names /) (tstamps /))
(tstamp 55BA9255))
(comp (ref P1)
(value _)
(libsource (lib MLAB_Jumpers) (part _))
(sheetpath (names /) (tstamps /))
(tstamp 55DAEB67))
(comp (ref P2)
(value _)
(libsource (lib MLAB_Jumpers) (part _))
(sheetpath (names /) (tstamps /))
(tstamp 55DB0223))
(comp (ref P4)
(value _)
(libsource (lib MLAB_Jumpers) (part _))
(sheetpath (names /) (tstamps /))
(tstamp 55DB1192))
(comp (ref P3)
(value _)
(libsource (lib MLAB_Jumpers) (part _))
(sheetpath (names /) (tstamps /))
(tstamp 55DB1560)))
(libparts
(libpart (lib device) (part CP)
(description "Polarised capacitor")
(footprints
(fp CP*)
(fp Elko*)
(fp TantalC*)
(fp C*elec)
(fp c_elec*)
(fp SMD*_Pol))
(fields
(field (name Reference) C)
(field (name Value) CP))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part C)
(description "Unpolarized capacitor")
(footprints
(fp C?)
(fp C_????_*)
(fp C_????)
(fp SMD*_c)
(fp Capacitor*))
(fields
(field (name Reference) C)
(field (name Value) C))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib header) (part HEADER_1x03)
(description "1x03 2.54 mm pitch header")
(fields
(field (name Reference) J)
(field (name Value) HEADER_1x03))
(pins
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))
(pin (num 3) (name ~) (type input))))
(libpart (lib header) (part HEADER_2x01_PARALLEL)
(description "2x01 2.54 mm pitch header, 2 pins next to each other are connected")
(fields
(field (name Reference) J)
(field (name Value) HEADER_2x01_PARALLEL))
(pins
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))))
(libpart (lib header) (part HEADER_2x03_PARALLEL)
(description "2x03 2.54 mm pitch header, 2 pins next to each other are connected")
(fields
(field (name Reference) J)
(field (name Value) HEADER_2x03_PARALLEL))
(pins
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))
(pin (num 3) (name ~) (type input))
(pin (num 4) (name ~) (type input))
(pin (num 5) (name ~) (type input))
(pin (num 6) (name ~) (type input))))
(libpart (lib mechanical) (part HOLE)
(fields
(field (name Reference) M)
(field (name Value) HOLE))
(pins
(pin (num 1) (name ~) (type input))))
(libpart (lib MLAB_Jumpers) (part _)
(description "Symbole general de connecteur")
(fields
(field (name Reference) P)
(field (name Value) _))
(pins
(pin (num 1) (name P1) (type passive))))
(libpart (lib Energy_harv-cache) (part Step-Up_TRANSFO)
(fields
(field (name Reference) T)
(field (name Value) Step-Up_TRANSFO))
(pins
(pin (num 1) (name AA) (type passive))
(pin (num 2) (name AB) (type passive))
(pin (num 3) (name SA) (type passive))
(pin (num 4) (name SB) (type passive))))
(libpart (lib Energy_harv-cache) (part LTC3109GN)
(fields
(field (name Reference) U)
(field (name Value) LTC3109GN))
(pins
(pin (num 1) (name VS1) (type input))
(pin (num 2) (name VS2) (type input))
(pin (num 3) (name VSTORE) (type output))
(pin (num 4) (name VAUX) (type output))
(pin (num 5) (name V_OUT) (type output))
(pin (num 6) (name V_OUT2) (type output))
(pin (num 7) (name V_OUT2_EN) (type input))
(pin (num 8) (name PGOOD) (type output))
(pin (num 9) (name VLDO) (type output))
(pin (num 10) (name GND) (type BiDi))
(pin (num 11) (name C1B) (type input))
(pin (num 12) (name C2B) (type input))
(pin (num 13) (name GND) (type BiDi))
(pin (num 14) (name SWB) (type input))
(pin (num 15) (name V_INB) (type input))
(pin (num 16) (name V_INA) (type input))
(pin (num 17) (name SWA) (type input))
(pin (num 18) (name GND) (type BiDi))
(pin (num 19) (name C2A) (type input))
(pin (num 20) (name C1A) (type input))))
(libpart (lib Energy_harv-cache) (part THERM_GEN)
(fields
(field (name Reference) U)
(field (name Value) THERM_GEN))
(pins
(pin (num 1) (name ~) (type BiDi))
(pin (num 2) (name ~) (type BiDi)))))
(libraries
(library (logical header)
(uri C:\Chroust\Zaloha\stare\kicad-mlab\symbols\header.lib))
(library (logical mechanical)
(uri C:\Chroust\Zaloha\stare\kicad-mlab\symbols\mechanical.lib))
(library (logical MLAB_Jumpers)
(uri C:\Chroust\Zaloha\stare\kicad-mlab\symbols\MLAB_Jumpers.lib))
(library (logical Energy_harv-cache)
(uri C:\Chroust\Zaloha\stare\MLAB\Modules\PowerSupply\THERMOGEN01A\SCH_PCB\Energy_harv\Energy_harv-cache.lib))
(library (logical device)
(uri C:\kicad2\kicad\share\library\device.lib)))
(nets
(net (code 1) (name "Net-(T2-Pad2)")
(node (ref U2) (pin 14))
(node (ref T2) (pin 2)))
(net (code 2) (name /T-)
(node (ref U2) (pin 16))
(node (ref P2) (pin 1))
(node (ref C1) (pin 2))
(node (ref U1) (pin 1))
(node (ref T2) (pin 1)))
(net (code 3) (name /T+)
(node (ref U1) (pin 2))
(node (ref P1) (pin 1))
(node (ref U2) (pin 15))
(node (ref C1) (pin 1))
(node (ref T1) (pin 1)))
(net (code 4) (name GND)
(node (ref J1) (pin 1))
(node (ref J6) (pin 6))
(node (ref J6) (pin 5))
(node (ref J5) (pin 5))
(node (ref U2) (pin 18))
(node (ref C8) (pin 2))
(node (ref T2) (pin 3))
(node (ref T1) (pin 3))
(node (ref U2) (pin 13))
(node (ref U2) (pin 10))
(node (ref C9) (pin 2))
(node (ref C6) (pin 2))
(node (ref J5) (pin 6))
(node (ref J6) (pin 1))
(node (ref J6) (pin 2))
(node (ref J2) (pin 1))
(node (ref P3) (pin 1))
(node (ref J5) (pin 1))
(node (ref J5) (pin 2))
(node (ref C7) (pin 2)))
(net (code 5) (name "Net-(C2-Pad1)")
(node (ref U2) (pin 20))
(node (ref C2) (pin 1)))
(net (code 6) (name "Net-(C3-Pad1)")
(node (ref U2) (pin 19))
(node (ref C3) (pin 1)))
(net (code 7) (name "Net-(C2-Pad2)")
(node (ref C2) (pin 2))
(node (ref C3) (pin 2))
(node (ref T1) (pin 4)))
(net (code 8) (name "Net-(T1-Pad2)")
(node (ref T1) (pin 2))
(node (ref U2) (pin 17)))
(net (code 9) (name "Net-(C4-Pad1)")
(node (ref C4) (pin 1))
(node (ref U2) (pin 11)))
(net (code 10) (name "Net-(C5-Pad1)")
(node (ref U2) (pin 12))
(node (ref C5) (pin 1)))
(net (code 11) (name "Net-(C4-Pad2)")
(node (ref C4) (pin 2))
(node (ref T2) (pin 4))
(node (ref C5) (pin 2)))
(net (code 12) (name "Net-(J3-Pad1)")
(node (ref J3) (pin 1))
(node (ref J3) (pin 2))
(node (ref U2) (pin 7)))
(net (code 13) (name "Net-(J4-Pad1)")
(node (ref U2) (pin 8))
(node (ref J4) (pin 2))
(node (ref J4) (pin 1)))
(net (code 14) (name /C+)
(node (ref U2) (pin 3))
(node (ref P4) (pin 1))
(node (ref C9) (pin 1)))
(net (code 15) (name /V_OUT2)
(node (ref U2) (pin 6))
(node (ref J5) (pin 4))
(node (ref J5) (pin 3)))
(net (code 16) (name /V_OUT)
(node (ref J6) (pin 4))
(node (ref J6) (pin 3))
(node (ref C8) (pin 1))
(node (ref U2) (pin 5)))
(net (code 17) (name "Net-(J1-Pad2)")
(node (ref U2) (pin 1))
(node (ref J1) (pin 2)))
(net (code 18) (name "Net-(J2-Pad2)")
(node (ref U2) (pin 2))
(node (ref J2) (pin 2)))
(net (code 19) (name "Net-(C7-Pad1)")
(node (ref C7) (pin 1))
(node (ref U2) (pin 9)))
(net (code 20) (name "Net-(M1-Pad1)")
(node (ref M1) (pin 1)))
(net (code 21) (name "Net-(M2-Pad1)")
(node (ref M2) (pin 1)))
(net (code 22) (name "Net-(M3-Pad1)")
(node (ref M3) (pin 1)))
(net (code 23) (name "Net-(M4-Pad1)")
(node (ref M4) (pin 1)))
(net (code 25) (name /VAUX)
(node (ref U2) (pin 4))
(node (ref J1) (pin 3))
(node (ref C6) (pin 1))
(node (ref J2) (pin 3)))))
/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.pro
1,111 → 1,126
update=Čt 30. červenec 2015, 22:17:46 CEST
version=1
last_client=kicad
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
[general]
version=1
[eeschema]
version=1
LibDir=/home/kaklik/git/kicad-library/library;/home/kaklik/git/kicad-mlab/symbols
[eeschema/libraries]
LibName1=74xgxx
LibName2=74xx
LibName3=ac-dc
LibName4=actel
LibName5=adc-dac
LibName6=Altera
LibName7=analog_devices
LibName8=analog_switches
LibName9=atmel
LibName10=audio
LibName11=brooktre
LibName12=cmos4000
LibName13=cmos_ieee
LibName14=conn
LibName15=contrib
LibName16=cypress
LibName17=dc-dc
LibName18=device
LibName19=digital-audio
LibName20=diode
LibName21=display
LibName22=dsp
LibName23=elec-unifil
LibName24=ESD_Protection
LibName25=ftdi
LibName26=gennum
LibName27=graphic
LibName28=hc11
LibName29=header
LibName30=intel
LibName31=interface
LibName32=ir
LibName33=Lattice
LibName34=linear
LibName35=logo
LibName36=maxim
LibName37=mechanical
LibName38=memory
LibName39=microcontrollers
LibName40=microchip
LibName41=microchip_dspic33dsc
LibName42=microchip_pic10mcu
LibName43=microchip_pic12mcu
LibName44=microchip_pic16mcu
LibName45=microchip_pic18mcu
LibName46=microchip_pic32mcu
LibName47=motor_drivers
LibName48=motorola
LibName49=msp430
LibName50=nordicsemi
LibName51=nxp_armmcu
LibName52=onsemi
LibName53=opto
LibName54=Oscillators
LibName55=philips
LibName56=power
LibName57=powerint
LibName58=Power_Management
LibName59=pspice
LibName60=references
LibName61=regul
LibName62=relays
LibName63=rfcom
LibName64=sensors
LibName65=silabs
LibName66=siliconi
LibName67=stm8
LibName68=stm32
LibName69=supertex
LibName70=switches
LibName71=texas
LibName72=transf
LibName73=transistors
LibName74=ttl_ieee
LibName75=valves
LibName76=video
LibName77=Xicor
LibName78=xilinx
LibName79=Zilog
LibName80=mlab_header
update=24. 8. 2015 11:05:23
version=1
last_client=kicad
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
[general]
version=1
[eeschema]
version=1
LibDir=/home/kaklik/git/kicad-library/library;/home/kaklik/git/kicad-mlab/symbols
[eeschema/libraries]
LibName1=74xgxx
LibName2=74xx
LibName3=ac-dc
LibName4=actel
LibName5=adc-dac
LibName6=Altera
LibName7=analog_devices
LibName8=analog_switches
LibName9=atmel
LibName10=audio
LibName11=brooktre
LibName12=cmos4000
LibName13=cmos_ieee
LibName14=conn
LibName15=contrib
LibName16=cypress
LibName17=dc-dc
LibName18=device
LibName19=digital-audio
LibName20=diode
LibName21=display
LibName22=dsp
LibName23=elec-unifil
LibName24=ESD_Protection
LibName25=ftdi
LibName26=gennum
LibName27=graphic
LibName28=hc11
LibName29=header
LibName30=intel
LibName31=interface
LibName32=ir
LibName33=Lattice
LibName34=linear
LibName35=logo
LibName36=maxim
LibName37=mechanical
LibName38=memory
LibName39=microcontrollers
LibName40=microchip
LibName41=microchip_dspic33dsc
LibName42=microchip_pic10mcu
LibName43=microchip_pic12mcu
LibName44=microchip_pic16mcu
LibName45=microchip_pic18mcu
LibName46=microchip_pic32mcu
LibName47=motor_drivers
LibName48=motorola
LibName49=msp430
LibName50=nordicsemi
LibName51=nxp_armmcu
LibName52=onsemi
LibName53=opto
LibName54=Oscillators
LibName55=philips
LibName56=power
LibName57=powerint
LibName58=Power_Management
LibName59=pspice
LibName60=references
LibName61=regul
LibName62=relays
LibName63=rfcom
LibName64=sensors
LibName65=silabs
LibName66=siliconi
LibName67=stm8
LibName68=stm32
LibName69=supertex
LibName70=switches
LibName71=texas
LibName72=transf
LibName73=transistors
LibName74=ttl_ieee
LibName75=valves
LibName76=video
LibName77=Xicor
LibName78=xilinx
LibName79=Zilog
LibName80=mlab_header
LibName81=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/ADC-DAC
LibName82=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/asp-134604-01_(fmc)
LibName83=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/clock
LibName84=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/header
LibName85=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/Jumpers
LibName86=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/konektory
LibName87=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/mechanical
LibName88=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/MLAB_BATERY
LibName89=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/MLAB_CONNECTORS
LibName90=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/MLAB_D
LibName91=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/MLAB_IO
LibName92=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/MLAB_Jumpers
LibName93=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/MLAB_T
LibName94=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/OpAmp
LibName95=C:/Chroust/Zaloha/stare/kicad-mlab/symbols/sata7-67491-1030
/Modules/PowerSupply/THERMOGEN01A/SCH_PCB/Energy_harv/Energy_harv.sch
1,623 → 1,696
EESchema Schematic File Version 2
LIBS:74xgxx
LIBS:74xx
LIBS:ac-dc
LIBS:actel
LIBS:adc-dac
LIBS:Altera
LIBS:analog_devices
LIBS:analog_switches
LIBS:atmel
LIBS:audio
LIBS:brooktre
LIBS:cmos4000
LIBS:cmos_ieee
LIBS:conn
LIBS:contrib
LIBS:cypress
LIBS:dc-dc
LIBS:device
LIBS:digital-audio
LIBS:diode
LIBS:display
LIBS:dsp
LIBS:elec-unifil
LIBS:ESD_Protection
LIBS:ftdi
LIBS:gennum
LIBS:graphic
LIBS:hc11
LIBS:header
LIBS:intel
LIBS:interface
LIBS:ir
LIBS:Lattice
LIBS:linear
LIBS:logo
LIBS:maxim
LIBS:mechanical
LIBS:memory
LIBS:microcontrollers
LIBS:microchip
LIBS:microchip_dspic33dsc
LIBS:microchip_pic10mcu
LIBS:microchip_pic12mcu
LIBS:microchip_pic16mcu
LIBS:microchip_pic18mcu
LIBS:microchip_pic32mcu
LIBS:motor_drivers
LIBS:motorola
LIBS:msp430
LIBS:nordicsemi
LIBS:nxp_armmcu
LIBS:onsemi
LIBS:opto
LIBS:Oscillators
LIBS:philips
LIBS:power
LIBS:powerint
LIBS:Power_Management
LIBS:pspice
LIBS:references
LIBS:regul
LIBS:relays
LIBS:rfcom
LIBS:sensors
LIBS:silabs
LIBS:siliconi
LIBS:stm8
LIBS:stm32
LIBS:supertex
LIBS:switches
LIBS:texas
LIBS:transf
LIBS:transistors
LIBS:ttl_ieee
LIBS:valves
LIBS:video
LIBS:Xicor
LIBS:xilinx
LIBS:Zilog
LIBS:mlab_header
LIBS:Energy_harv-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title "NAME"
Date "%d. %m. %Y"
Rev "REV"
Comp "Mlab www.mlab.cz"
Comment1 "Short description \\nTwo lines are maximum"
Comment2 "nickname <email@example.com>"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L C C2
U 1 1 55A639B3
P 4650 2300
F 0 "C2" V 4590 2390 50 0000 L CNN
F 1 "1nF" V 4500 2240 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2150 30 0001 C CNN
F 3 "" H 4650 2300 60 0000 C CNN
1 4650 2300
0 1 1 0
$EndComp
$Comp
L C C3
U 1 1 55A63C47
P 4650 2550
F 0 "C3" V 4590 2640 50 0000 L CNN
F 1 "470pF" V 4790 2440 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2400 30 0001 C CNN
F 3 "" H 4650 2550 60 0000 C CNN
1 4650 2550
0 1 1 0
$EndComp
$Comp
L Step-Up_TRANSFO T1
U 1 1 55A64E73
P 3680 2350
F 0 "T1" H 3680 2600 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3670 2090 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 2350 60 0001 C CNN
F 3 "" H 3680 2350 60 0000 C CNN
1 3680 2350
1 0 0 -1
$EndComp
$Comp
L GND #PWR01
U 1 1 55A64EF4
P 4220 2570
F 0 "#PWR01" H 4220 2320 50 0001 C CNN
F 1 "GND" H 4220 2420 50 0000 C CNN
F 2 "" H 4220 2570 60 0000 C CNN
F 3 "" H 4220 2570 60 0000 C CNN
1 4220 2570
1 0 0 -1
$EndComp
$Comp
L Step-Up_TRANSFO T2
U 1 1 55A650B1
P 3680 3210
F 0 "T2" H 3680 3460 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3680 2940 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 3210 60 0001 C CNN
F 3 "" H 3680 3210 60 0000 C CNN
1 3680 3210
1 0 0 -1
$EndComp
$Comp
L C C4
U 1 1 55A6512C
P 4650 3100
F 0 "C4" V 4590 3190 50 0000 L CNN
F 1 "1nF" V 4510 3040 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2950 30 0001 C CNN
F 3 "" H 4650 3100 60 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L C C5
U 1 1 55A65213
P 4650 3350
F 0 "C5" V 4590 3440 50 0000 L CNN
F 1 "470pF" V 4800 3260 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 3200 30 0001 C CNN
F 3 "" H 4650 3350 60 0000 C CNN
1 4650 3350
0 1 1 0
$EndComp
Wire Wire Line
4800 2300 5000 2300
Wire Wire Line
4800 2550 5000 2550
Wire Wire Line
4360 2550 4500 2550
Wire Wire Line
4360 2150 4360 2550
Connection ~ 4360 2300
Wire Wire Line
4360 2300 4500 2300
Wire Wire Line
4080 2150 4360 2150
Wire Wire Line
3120 2550 3120 2800
Wire Wire Line
3120 2800 5000 2800
Wire Wire Line
4800 3100 5000 3100
Wire Wire Line
4800 3350 5000 3350
Wire Wire Line
4360 3100 4500 3100
Wire Wire Line
4360 3010 4360 3350
Wire Wire Line
4360 3350 4500 3350
Wire Wire Line
3120 2550 3280 2550
Connection ~ 4360 3100
$Comp
L GND #PWR02
U 1 1 55A655D8
P 4220 3430
F 0 "#PWR02" H 4220 3180 50 0001 C CNN
F 1 "GND" H 4220 3280 50 0000 C CNN
F 2 "" H 4220 3430 60 0000 C CNN
F 3 "" H 4220 3430 60 0000 C CNN
1 4220 3430
1 0 0 -1
$EndComp
Wire Wire Line
4080 3410 4220 3410
Wire Wire Line
4220 3410 4220 3430
Wire Wire Line
4080 2550 4220 2550
Wire Wire Line
4220 2550 4220 2570
Wire Wire Line
4080 3010 4360 3010
$Comp
L C C1
U 1 1 55A65C0C
P 2830 2470
F 0 "C1" H 2855 2570 50 0000 L CNN
F 1 "47uF" H 2855 2370 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeA_Reflow" H 2868 2320 30 0001 C CNN
F 3 "" H 2830 2470 60 0000 C CNN
1 2830 2470
1 0 0 -1
$EndComp
Wire Wire Line
2830 2150 2830 2320
Wire Wire Line
2320 2150 3280 2150
Wire Wire Line
2660 2900 5000 2900
Wire Wire Line
2830 2620 2830 3010
Connection ~ 2830 2900
Wire Wire Line
2830 3010 3280 3010
$Comp
L THERM_GEN U1
U 1 1 55A669FE
P 2560 2500
F 0 "U1" H 2580 2370 60 0000 C CNN
F 1 "THERM_GEN" H 2560 2660 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 2450 2590 60 0001 C CNN
F 3 "" H 2450 2590 60 0000 C CNN
1 2560 2500
0 -1 -1 0
$EndComp
Wire Wire Line
2660 2220 2660 2150
Connection ~ 2830 2150
Wire Wire Line
2660 2780 2660 2900
Wire Wire Line
5000 3700 3130 3700
Wire Wire Line
3130 3700 3130 3410
Wire Wire Line
3130 3410 3280 3410
Connection ~ 2660 2150
Wire Wire Line
2320 2150 2320 3800
Wire Wire Line
2320 3800 5000 3800
$Comp
L GND #PWR03
U 1 1 55A66EB3
P 5600 4370
F 0 "#PWR03" H 5600 4120 50 0001 C CNN
F 1 "GND" H 5600 4220 50 0000 C CNN
F 2 "" H 5600 4370 60 0000 C CNN
F 3 "" H 5600 4370 60 0000 C CNN
1 5600 4370
1 0 0 -1
$EndComp
Wire Wire Line
5600 4300 5600 4370
$Comp
L GND #PWR04
U 1 1 55A66F52
P 6400 4350
F 0 "#PWR04" H 6400 4100 50 0001 C CNN
F 1 "GND" H 6400 4200 50 0000 C CNN
F 2 "" H 6400 4350 60 0000 C CNN
F 3 "" H 6400 4350 60 0000 C CNN
1 6400 4350
1 0 0 -1
$EndComp
$Comp
L C C6
U 1 1 55A66F73
P 6400 4150
F 0 "C6" H 6425 4250 50 0000 L CNN
F 1 "1uF" H 6425 4050 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6438 4000 30 0001 C CNN
F 3 "" H 6400 4150 60 0000 C CNN
1 6400 4150
1 0 0 -1
$EndComp
$Comp
L CP C9
U 1 1 55A671D6
P 6800 3980
F 0 "C9" H 6825 4080 50 0000 L CNN
F 1 "1-10F" H 6825 3880 50 0000 L CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6838 3830 30 0001 C CNN
F 3 "" H 6800 3980 60 0000 C CNN
1 6800 3980
1 0 0 -1
$EndComp
$Comp
L CP C8
U 1 1 55A6722B
P 7350 2750
F 0 "C8" H 7375 2850 50 0000 L CNN
F 1 "470uF" H 7375 2650 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeD_Reflow" H 7388 2600 30 0001 C CNN
F 3 "" H 7350 2750 60 0000 C CNN
1 7350 2750
1 0 0 -1
$EndComp
Wire Wire Line
6800 3600 6800 3830
$Comp
L GND #PWR05
U 1 1 55A677B9
P 6800 4170
F 0 "#PWR05" H 6800 3920 50 0001 C CNN
F 1 "GND" H 6800 4020 50 0000 C CNN
F 2 "" H 6800 4170 60 0000 C CNN
F 3 "" H 6800 4170 60 0000 C CNN
1 6800 4170
1 0 0 -1
$EndComp
Wire Wire Line
6800 4130 6800 4170
$Comp
L LTC3109GN U2
U 1 1 55A67D56
P 5600 3100
F 0 "U2" H 5850 2050 60 0000 C CNN
F 1 "LTC3109GN" H 5600 4050 60 0000 C CNN
F 2 "SMD_Packages:SSOP-20" H 5600 3850 60 0001 C CNN
F 3 "" H 5600 3850 60 0000 C CNN
1 5600 3100
1 0 0 -1
$EndComp
Wire Wire Line
6400 4300 6400 4350
Wire Wire Line
5500 4300 5500 4350
Wire Wire Line
5500 4350 5700 4350
Connection ~ 5600 4350
Wire Wire Line
5700 4350 5700 4300
Wire Wire Line
6400 3800 6200 3800
Wire Wire Line
6400 4000 6400 3800
Wire Wire Line
6800 3600 6200 3600
$Comp
L HEADER_1x03 J2
U 1 1 55BA9377
P 4400 4250
F 0 "J2" H 4400 4050 60 0000 C CNN
F 1 "VS2" H 4400 4500 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x03" H 4400 4350 60 0001 C CNN
F 3 "" H 4400 4350 60 0000 C CNN
1 4400 4250
-1 0 0 1
$EndComp
Wire Wire Line
5000 3900 4200 3900
Wire Wire Line
4200 3900 4200 4250
Wire Wire Line
4200 4250 3950 4250
Wire Wire Line
5000 4000 4850 4000
Wire Wire Line
4850 4000 4850 4250
Wire Wire Line
4850 4250 4600 4250
$Comp
L GND #PWR06
U 1 1 55BA9586
P 4050 4400
F 0 "#PWR06" H 4050 4150 50 0001 C CNN
F 1 "GND" H 4050 4250 50 0000 C CNN
F 2 "" H 4050 4400 60 0000 C CNN
F 3 "" H 4050 4400 60 0000 C CNN
1 4050 4400
1 0 0 -1
$EndComp
$Comp
L GND #PWR07
U 1 1 55BA959C
P 4700 4400
F 0 "#PWR07" H 4700 4150 50 0001 C CNN
F 1 "GND" H 4700 4250 50 0000 C CNN
F 2 "" H 4700 4400 60 0000 C CNN
F 3 "" H 4700 4400 60 0000 C CNN
1 4700 4400
1 0 0 -1
$EndComp
Wire Wire Line
4700 4400 4700 4350
Wire Wire Line
4700 4350 4600 4350
Wire Wire Line
4050 4400 4050 4350
Wire Wire Line
4050 4350 3950 4350
Text Label 6250 3800 0 60 ~ 0
VAUX
Wire Wire Line
4600 4150 4800 4150
Wire Wire Line
3950 4150 4100 4150
Text Label 3950 4150 0 60 ~ 0
VAUX
Text Label 4600 4150 0 60 ~ 0
VAUX
$Comp
L C C7
U 1 1 55A6727D
P 6950 3000
F 0 "C7" H 6975 3100 50 0000 L CNN
F 1 "2,2uF" H 6975 2900 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6988 2850 30 0001 C CNN
F 3 "" H 6950 3000 60 0000 C CNN
1 6950 3000
-1 0 0 -1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J4
U 1 1 55BA9CD4
P 6550 2300
F 0 "J4" H 6550 2200 60 0000 C CNN
F 1 "Power Good" H 6550 2100 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6550 2300 60 0001 C CNN
F 3 "" H 6550 2300 60 0000 C CNN
1 6550 2300
1 0 0 1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J5
U 1 1 55BA9E0C
P 8300 2350
F 0 "J5" H 8300 2150 60 0000 C CNN
F 1 "Vout2" H 8550 2350 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2450 60 0001 C CNN
F 3 "" H 8300 2450 60 0000 C CNN
1 8300 2350
1 0 0 -1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J6
U 1 1 55BA9EE7
P 8300 2800
F 0 "J6" H 8300 2600 60 0000 C CNN
F 1 "Vout" H 8550 2750 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2900 60 0001 C CNN
F 3 "" H 8300 2900 60 0000 C CNN
1 8300 2800
1 0 0 -1
$EndComp
$Comp
L GND #PWR08
U 1 1 55BA9FFB
P 7950 3000
F 0 "#PWR08" H 7950 2750 50 0001 C CNN
F 1 "GND" H 7950 2850 50 0000 C CNN
F 2 "" H 7950 3000 60 0000 C CNN
F 3 "" H 7950 3000 60 0000 C CNN
1 7950 3000
1 0 0 -1
$EndComp
$Comp
L GND #PWR09
U 1 1 55BAA013
P 6950 3200
F 0 "#PWR09" H 6950 2950 50 0001 C CNN
F 1 "GND" H 6950 3050 50 0000 C CNN
F 2 "" H 6950 3200 60 0000 C CNN
F 3 "" H 6950 3200 60 0000 C CNN
1 6950 3200
1 0 0 -1
$EndComp
Wire Wire Line
6200 2800 6950 2800
Wire Wire Line
6950 2800 6950 2850
Wire Wire Line
6950 3150 6950 3200
$Comp
L GND #PWR010
U 1 1 55BAA491
P 7350 2950
F 0 "#PWR010" H 7350 2700 50 0001 C CNN
F 1 "GND" H 7350 2800 50 0000 C CNN
F 2 "" H 7350 2950 60 0000 C CNN
F 3 "" H 7350 2950 60 0000 C CNN
1 7350 2950
1 0 0 -1
$EndComp
Wire Wire Line
6200 2550 7700 2550
Wire Wire Line
7350 2550 7350 2600
Wire Wire Line
7350 2900 7350 2950
Wire Wire Line
7700 2550 7700 2800
Wire Wire Line
7700 2800 8050 2800
Wire Wire Line
8050 2250 7950 2250
Wire Wire Line
7950 2250 7950 3000
Wire Wire Line
8050 2450 7950 2450
Connection ~ 7950 2450
Wire Wire Line
8050 2900 7950 2900
Connection ~ 7950 2900
Wire Wire Line
8050 2700 7950 2700
Connection ~ 7950 2700
Wire Wire Line
6200 2400 7700 2400
Wire Wire Line
7700 2400 7700 2350
Wire Wire Line
7700 2350 8050 2350
Connection ~ 7350 2550
$Comp
L HEADER_2x01_PARALLEL J3
U 1 1 55BAAB6B
P 6500 3100
F 0 "J3" H 6500 3000 60 0000 C CNN
F 1 "VOUT2_EN" H 6500 2900 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6500 3100 60 0001 C CNN
F 3 "" H 6500 3100 60 0000 C CNN
1 6500 3100
1 0 0 1
$EndComp
Wire Wire Line
6250 3100 6200 3100
Wire Wire Line
6300 2300 6200 2300
$Comp
L HOLE M1
U 1 1 55CA76BA
P 1050 6150
F 0 "M1" H 1050 6250 60 0000 C CNN
F 1 "HOLE" H 1050 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6150 60 0001 C CNN
F 3 "" H 1050 6150 60 0000 C CNN
1 1050 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M2
U 1 1 55CA779F
P 1050 6500
F 0 "M2" H 1050 6600 60 0000 C CNN
F 1 "HOLE" H 1050 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6500 60 0001 C CNN
F 3 "" H 1050 6500 60 0000 C CNN
1 1050 6500
1 0 0 -1
$EndComp
$Comp
L HOLE M3
U 1 1 55CA77E6
P 1400 6150
F 0 "M3" H 1400 6250 60 0000 C CNN
F 1 "HOLE" H 1400 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6150 60 0001 C CNN
F 3 "" H 1400 6150 60 0000 C CNN
1 1400 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M4
U 1 1 55CA77EC
P 1400 6500
F 0 "M4" H 1400 6600 60 0000 C CNN
F 1 "HOLE" H 1400 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6500 60 0001 C CNN
F 3 "" H 1400 6500 60 0000 C CNN
1 1400 6500
1 0 0 -1
$EndComp
NoConn ~ 1100 6150
NoConn ~ 1100 6500
NoConn ~ 1450 6500
NoConn ~ 1450 6150
NoConn ~ 3500 1250
$Comp
L HEADER_1x03 J1
U 1 1 55BA9255
P 3750 4250
F 0 "J1" H 3750 4050 60 0000 C CNN
F 1 "VS1" H 3900 4250 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x03" H 3750 4350 60 0001 C CNN
F 3 "" H 3750 4350 60 0000 C CNN
1 3750 4250
-1 0 0 1
$EndComp
$EndSCHEMATC
EESchema Schematic File Version 2
LIBS:74xgxx
LIBS:74xx
LIBS:ac-dc
LIBS:actel
LIBS:adc-dac
LIBS:Altera
LIBS:analog_devices
LIBS:analog_switches
LIBS:atmel
LIBS:audio
LIBS:brooktre
LIBS:cmos4000
LIBS:cmos_ieee
LIBS:conn
LIBS:contrib
LIBS:cypress
LIBS:dc-dc
LIBS:device
LIBS:digital-audio
LIBS:display
LIBS:dsp
LIBS:elec-unifil
LIBS:ESD_Protection
LIBS:ftdi
LIBS:gennum
LIBS:graphic
LIBS:hc11
LIBS:intel
LIBS:interface
LIBS:ir
LIBS:Lattice
LIBS:linear
LIBS:logo
LIBS:maxim
LIBS:memory
LIBS:microcontrollers
LIBS:microchip
LIBS:microchip_dspic33dsc
LIBS:microchip_pic10mcu
LIBS:microchip_pic12mcu
LIBS:microchip_pic16mcu
LIBS:microchip_pic18mcu
LIBS:microchip_pic32mcu
LIBS:motor_drivers
LIBS:motorola
LIBS:msp430
LIBS:nordicsemi
LIBS:nxp_armmcu
LIBS:onsemi
LIBS:opto
LIBS:Oscillators
LIBS:philips
LIBS:power
LIBS:powerint
LIBS:Power_Management
LIBS:pspice
LIBS:references
LIBS:regul
LIBS:relays
LIBS:rfcom
LIBS:sensors
LIBS:silabs
LIBS:siliconi
LIBS:stm8
LIBS:stm32
LIBS:supertex
LIBS:switches
LIBS:texas
LIBS:transf
LIBS:transistors
LIBS:ttl_ieee
LIBS:valves
LIBS:video
LIBS:Xicor
LIBS:xilinx
LIBS:Zilog
LIBS:ADC-DAC
LIBS:asp-134604-01_(fmc)
LIBS:clock
LIBS:header
LIBS:Jumpers
LIBS:konektory
LIBS:mechanical
LIBS:MLAB_BATERY
LIBS:MLAB_CONNECTORS
LIBS:MLAB_D
LIBS:MLAB_IO
LIBS:MLAB_Jumpers
LIBS:MLAB_T
LIBS:OpAmp
LIBS:sata7-67491-1030
LIBS:Energy_harv-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title "NAME"
Date "%d. %m. %Y"
Rev "REV"
Comp "Mlab www.mlab.cz"
Comment1 "Short description \\nTwo lines are maximum"
Comment2 "nickname <email@example.com>"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L C C2
U 1 1 55A639B3
P 4650 2300
F 0 "C2" V 4590 2390 50 0000 L CNN
F 1 "1nF" V 4500 2240 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2150 30 0001 C CNN
F 3 "" H 4650 2300 60 0000 C CNN
1 4650 2300
0 1 1 0
$EndComp
$Comp
L C C3
U 1 1 55A63C47
P 4650 2550
F 0 "C3" V 4590 2640 50 0000 L CNN
F 1 "470pF" V 4790 2440 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2400 30 0001 C CNN
F 3 "" H 4650 2550 60 0000 C CNN
1 4650 2550
0 1 1 0
$EndComp
$Comp
L Step-Up_TRANSFO T1
U 1 1 55A64E73
P 3680 2350
F 0 "T1" H 3680 2600 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3670 2090 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 2350 60 0001 C CNN
F 3 "" H 3680 2350 60 0000 C CNN
1 3680 2350
1 0 0 -1
$EndComp
$Comp
L GND #PWR01
U 1 1 55A64EF4
P 4220 2570
F 0 "#PWR01" H 4220 2320 50 0001 C CNN
F 1 "GND" H 4220 2420 50 0000 C CNN
F 2 "" H 4220 2570 60 0000 C CNN
F 3 "" H 4220 2570 60 0000 C CNN
1 4220 2570
1 0 0 -1
$EndComp
$Comp
L Step-Up_TRANSFO T2
U 1 1 55A650B1
P 3680 3210
F 0 "T2" H 3680 3460 50 0000 C CNN
F 1 "Step-Up_TRANSFO" H 3680 2940 50 0000 C CNN
F 2 "Energy_harv:Step-Up_TRANSF" H 3680 3210 60 0001 C CNN
F 3 "" H 3680 3210 60 0000 C CNN
1 3680 3210
1 0 0 -1
$EndComp
$Comp
L C C4
U 1 1 55A6512C
P 4650 3100
F 0 "C4" V 4590 3190 50 0000 L CNN
F 1 "1nF" V 4510 3040 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 2950 30 0001 C CNN
F 3 "" H 4650 3100 60 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L C C5
U 1 1 55A65213
P 4650 3350
F 0 "C5" V 4590 3440 50 0000 L CNN
F 1 "470pF" V 4800 3260 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 4688 3200 30 0001 C CNN
F 3 "" H 4650 3350 60 0000 C CNN
1 4650 3350
0 1 1 0
$EndComp
$Comp
L GND #PWR02
U 1 1 55A655D8
P 4220 3430
F 0 "#PWR02" H 4220 3180 50 0001 C CNN
F 1 "GND" H 4220 3280 50 0000 C CNN
F 2 "" H 4220 3430 60 0000 C CNN
F 3 "" H 4220 3430 60 0000 C CNN
1 4220 3430
1 0 0 -1
$EndComp
$Comp
L C C1
U 1 1 55A65C0C
P 2830 2470
F 0 "C1" H 2855 2570 50 0000 L CNN
F 1 "47uF" H 2855 2370 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeA_Reflow" H 2868 2320 30 0001 C CNN
F 3 "" H 2830 2470 60 0000 C CNN
1 2830 2470
1 0 0 -1
$EndComp
$Comp
L THERM_GEN U1
U 1 1 55A669FE
P 2560 2500
F 0 "U1" H 2580 2370 60 0000 C CNN
F 1 "THERM_GEN" H 2560 2660 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 2450 2590 60 0001 C CNN
F 3 "" H 2450 2590 60 0000 C CNN
1 2560 2500
0 -1 -1 0
$EndComp
$Comp
L GND #PWR03
U 1 1 55A66EB3
P 5600 4370
F 0 "#PWR03" H 5600 4120 50 0001 C CNN
F 1 "GND" H 5600 4220 50 0000 C CNN
F 2 "" H 5600 4370 60 0000 C CNN
F 3 "" H 5600 4370 60 0000 C CNN
1 5600 4370
1 0 0 -1
$EndComp
$Comp
L GND #PWR04
U 1 1 55A66F52
P 6400 4350
F 0 "#PWR04" H 6400 4100 50 0001 C CNN
F 1 "GND" H 6400 4200 50 0000 C CNN
F 2 "" H 6400 4350 60 0000 C CNN
F 3 "" H 6400 4350 60 0000 C CNN
1 6400 4350
1 0 0 -1
$EndComp
$Comp
L C C6
U 1 1 55A66F73
P 6400 4150
F 0 "C6" H 6425 4250 50 0000 L CNN
F 1 "1uF" H 6425 4050 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6438 4000 30 0001 C CNN
F 3 "" H 6400 4150 60 0000 C CNN
1 6400 4150
1 0 0 -1
$EndComp
$Comp
L CP C9
U 1 1 55A671D6
P 6800 3980
F 0 "C9" H 6825 4080 50 0000 L CNN
F 1 "1-10F" H 6820 3900 50 0000 L CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6838 3830 30 0001 C CNN
F 3 "" H 6800 3980 60 0000 C CNN
1 6800 3980
1 0 0 -1
$EndComp
$Comp
L CP C8
U 1 1 55A6722B
P 7350 2750
F 0 "C8" H 7375 2850 50 0000 L CNN
F 1 "470uF" H 7375 2650 50 0000 L CNN
F 2 "Mlab_C:TantalC_SizeD_Reflow" H 7388 2600 30 0001 C CNN
F 3 "" H 7350 2750 60 0000 C CNN
1 7350 2750
1 0 0 -1
$EndComp
$Comp
L GND #PWR05
U 1 1 55A677B9
P 6800 4170
F 0 "#PWR05" H 6800 3920 50 0001 C CNN
F 1 "GND" H 6800 4020 50 0000 C CNN
F 2 "" H 6800 4170 60 0000 C CNN
F 3 "" H 6800 4170 60 0000 C CNN
1 6800 4170
1 0 0 -1
$EndComp
$Comp
L LTC3109GN U2
U 1 1 55A67D56
P 5600 3100
F 0 "U2" H 5850 2050 60 0000 C CNN
F 1 "LTC3109GN" H 5600 4050 60 0000 C CNN
F 2 "SMD_Packages:SSOP-20" H 5600 3850 60 0001 C CNN
F 3 "" H 5600 3850 60 0000 C CNN
1 5600 3100
1 0 0 -1
$EndComp
$Comp
L HEADER_1x03 J2
U 1 1 55BA9377
P 4400 4250
F 0 "J2" H 4400 4050 60 0000 C CNN
F 1 "VS2" H 4400 4500 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x03" H 4400 4350 60 0001 C CNN
F 3 "" H 4400 4350 60 0000 C CNN
1 4400 4250
-1 0 0 1
$EndComp
$Comp
L GND #PWR06
U 1 1 55BA9586
P 4050 4400
F 0 "#PWR06" H 4050 4150 50 0001 C CNN
F 1 "GND" H 4050 4250 50 0000 C CNN
F 2 "" H 4050 4400 60 0000 C CNN
F 3 "" H 4050 4400 60 0000 C CNN
1 4050 4400
1 0 0 -1
$EndComp
$Comp
L GND #PWR07
U 1 1 55BA959C
P 4700 4400
F 0 "#PWR07" H 4700 4150 50 0001 C CNN
F 1 "GND" H 4700 4250 50 0000 C CNN
F 2 "" H 4700 4400 60 0000 C CNN
F 3 "" H 4700 4400 60 0000 C CNN
1 4700 4400
1 0 0 -1
$EndComp
Text Label 6250 3800 0 60 ~ 0
VAUX
Text Label 3950 4150 0 60 ~ 0
VAUX
Text Label 4600 4150 0 60 ~ 0
VAUX
$Comp
L C C7
U 1 1 55A6727D
P 6950 3000
F 0 "C7" H 6975 3100 50 0000 L CNN
F 1 "2,2uF" H 6975 2900 50 0000 L CNN
F 2 "Mlab_C:SMD-0603" H 6988 2850 30 0001 C CNN
F 3 "" H 6950 3000 60 0000 C CNN
1 6950 3000
-1 0 0 -1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J4
U 1 1 55BA9CD4
P 6550 2300
F 0 "J4" H 6550 2200 60 0000 C CNN
F 1 "Power Good" H 6550 2100 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6550 2300 60 0001 C CNN
F 3 "" H 6550 2300 60 0000 C CNN
1 6550 2300
1 0 0 1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J5
U 1 1 55BA9E0C
P 8300 2350
F 0 "J5" H 8300 2150 60 0000 C CNN
F 1 "Vout2" H 8550 2350 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2450 60 0001 C CNN
F 3 "" H 8300 2450 60 0000 C CNN
1 8300 2350
1 0 0 -1
$EndComp
$Comp
L HEADER_2x03_PARALLEL J6
U 1 1 55BA9EE7
P 8300 2800
F 0 "J6" H 8300 2600 60 0000 C CNN
F 1 "Vout" H 8550 2750 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_2x03" H 8300 2900 60 0001 C CNN
F 3 "" H 8300 2900 60 0000 C CNN
1 8300 2800
1 0 0 -1
$EndComp
$Comp
L GND #PWR08
U 1 1 55BA9FFB
P 7950 3000
F 0 "#PWR08" H 7950 2750 50 0001 C CNN
F 1 "GND" H 7950 2850 50 0000 C CNN
F 2 "" H 7950 3000 60 0000 C CNN
F 3 "" H 7950 3000 60 0000 C CNN
1 7950 3000
1 0 0 -1
$EndComp
$Comp
L GND #PWR09
U 1 1 55BAA013
P 6950 3200
F 0 "#PWR09" H 6950 2950 50 0001 C CNN
F 1 "GND" H 6950 3050 50 0000 C CNN
F 2 "" H 6950 3200 60 0000 C CNN
F 3 "" H 6950 3200 60 0000 C CNN
1 6950 3200
1 0 0 -1
$EndComp
$Comp
L GND #PWR010
U 1 1 55BAA491
P 7350 2950
F 0 "#PWR010" H 7350 2700 50 0001 C CNN
F 1 "GND" H 7350 2800 50 0000 C CNN
F 2 "" H 7350 2950 60 0000 C CNN
F 3 "" H 7350 2950 60 0000 C CNN
1 7350 2950
1 0 0 -1
$EndComp
$Comp
L HEADER_2x01_PARALLEL J3
U 1 1 55BAAB6B
P 6500 3100
F 0 "J3" H 6500 3000 60 0000 C CNN
F 1 "VOUT2_EN" H 6500 2900 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 6500 3100 60 0001 C CNN
F 3 "" H 6500 3100 60 0000 C CNN
1 6500 3100
1 0 0 1
$EndComp
$Comp
L HOLE M1
U 1 1 55CA76BA
P 1050 6150
F 0 "M1" H 1050 6250 60 0000 C CNN
F 1 "HOLE" H 1050 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6150 60 0001 C CNN
F 3 "" H 1050 6150 60 0000 C CNN
1 1050 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M2
U 1 1 55CA779F
P 1050 6500
F 0 "M2" H 1050 6600 60 0000 C CNN
F 1 "HOLE" H 1050 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1050 6500 60 0001 C CNN
F 3 "" H 1050 6500 60 0000 C CNN
1 1050 6500
1 0 0 -1
$EndComp
$Comp
L HOLE M3
U 1 1 55CA77E6
P 1400 6150
F 0 "M3" H 1400 6250 60 0000 C CNN
F 1 "HOLE" H 1400 6050 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6150 60 0001 C CNN
F 3 "" H 1400 6150 60 0000 C CNN
1 1400 6150
1 0 0 -1
$EndComp
$Comp
L HOLE M4
U 1 1 55CA77EC
P 1400 6500
F 0 "M4" H 1400 6600 60 0000 C CNN
F 1 "HOLE" H 1400 6400 60 0000 C CNN
F 2 "Mlab_Mechanical:MountingHole_3mm" H 1400 6500 60 0001 C CNN
F 3 "" H 1400 6500 60 0000 C CNN
1 1400 6500
1 0 0 -1
$EndComp
NoConn ~ 1100 6150
NoConn ~ 1100 6500
NoConn ~ 1450 6500
NoConn ~ 1450 6150
NoConn ~ 3500 1250
$Comp
L HEADER_1x03 J1
U 1 1 55BA9255
P 3750 4250
F 0 "J1" H 3750 4050 60 0000 C CNN
F 1 "VS1" H 3900 4250 60 0000 C CNN
F 2 "Mlab_Pin_Headers:Straight_1x02" H 3750 4350 60 0001 C CNN
F 3 "" H 3750 4350 60 0000 C CNN
1 3750 4250
-1 0 0 1
$EndComp
$Comp
L _ P1
U 1 1 55DAEB67
P 1890 2100
F 0 "P1" H 1940 2200 50 0000 C CNN
F 1 "_" H 1890 2150 40 0000 C CNN
F 2 "Mlab_Con:WAGO256" H 2140 1950 60 0001 C CNN
F 3 "" H 2140 1950 60 0000 C CNN
1 1890 2100
-1 0 0 1
$EndComp
$Comp
L _ P2
U 1 1 55DB0223
P 1900 2850
F 0 "P2" H 1950 2950 50 0000 C CNN
F 1 "_" H 1900 2900 40 0000 C CNN
F 2 "Mlab_Con:WAGO256" H 2150 2700 60 0001 C CNN
F 3 "" H 2150 2700 60 0000 C CNN
1 1900 2850
-1 0 0 1
$EndComp
Text Label 2190 2150 0 60 ~ 0
T+
Text Label 2200 2900 0 60 ~ 0
T-
$Comp
L _ P4
U 1 1 55DB1192
P 7290 3650
F 0 "P4" H 7340 3750 50 0000 C CNN
F 1 "_" H 7290 3700 40 0000 C CNN
F 2 "Mlab_Con:WAGO256" H 7540 3500 60 0001 C CNN
F 3 "" H 7540 3500 60 0000 C CNN
1 7290 3650
1 0 0 -1
$EndComp
$Comp
L _ P3
U 1 1 55DB1560
P 7270 4220
F 0 "P3" H 7320 4320 50 0000 C CNN
F 1 "_" H 7270 4270 40 0000 C CNN
F 2 "Mlab_Con:WAGO256" H 7520 4070 60 0001 C CNN
F 3 "" H 7520 4070 60 0000 C CNN
1 7270 4220
1 0 0 -1
$EndComp
Wire Wire Line
4800 2300 5000 2300
Wire Wire Line
4800 2550 5000 2550
Wire Wire Line
4360 2550 4500 2550
Wire Wire Line
4360 2150 4360 2550
Connection ~ 4360 2300
Wire Wire Line
4360 2300 4500 2300
Wire Wire Line
4080 2150 4360 2150
Wire Wire Line
3120 2550 3120 2800
Wire Wire Line
3120 2800 5000 2800
Wire Wire Line
4800 3100 5000 3100
Wire Wire Line
4800 3350 5000 3350
Wire Wire Line
4360 3100 4500 3100
Wire Wire Line
4360 3010 4360 3350
Wire Wire Line
4360 3350 4500 3350
Wire Wire Line
3120 2550 3280 2550
Connection ~ 4360 3100
Wire Wire Line
4080 3410 4220 3410
Wire Wire Line
4220 3410 4220 3430
Wire Wire Line
4080 2550 4220 2550
Wire Wire Line
4220 2550 4220 2570
Wire Wire Line
4080 3010 4360 3010
Wire Wire Line
2830 2150 2830 2320
Wire Wire Line
2200 2900 5000 2900
Wire Wire Line
2830 2620 2830 3010
Connection ~ 2830 2900
Wire Wire Line
2830 3010 3280 3010
Wire Wire Line
2660 2220 2660 2150
Connection ~ 2830 2150
Wire Wire Line
2660 2780 2660 2900
Wire Wire Line
5000 3700 3130 3700
Wire Wire Line
3130 3700 3130 3410
Wire Wire Line
3130 3410 3280 3410
Connection ~ 2660 2150
Wire Wire Line
2320 2150 2320 3800
Wire Wire Line
2320 3800 5000 3800
Wire Wire Line
5600 4300 5600 4370
Wire Wire Line
6800 3600 6800 3830
Wire Wire Line
6800 4130 6800 4170
Wire Wire Line
6400 4300 6400 4350
Wire Wire Line
5500 4300 5500 4350
Wire Wire Line
5500 4350 5700 4350
Connection ~ 5600 4350
Wire Wire Line
5700 4350 5700 4300
Wire Wire Line
6400 3800 6200 3800
Wire Wire Line
6400 4000 6400 3800
Wire Wire Line
6200 3600 6990 3600
Wire Wire Line
5000 3900 4200 3900
Wire Wire Line
4200 3900 4200 4250
Wire Wire Line
4200 4250 3950 4250
Wire Wire Line
5000 4000 4850 4000
Wire Wire Line
4850 4000 4850 4250
Wire Wire Line
4850 4250 4600 4250
Wire Wire Line
4700 4400 4700 4350
Wire Wire Line
4700 4350 4600 4350
Wire Wire Line
4050 4400 4050 4350
Wire Wire Line
4050 4350 3950 4350
Wire Wire Line
4600 4150 4800 4150
Wire Wire Line
3950 4150 4100 4150
Wire Wire Line
6200 2800 6950 2800
Wire Wire Line
6950 2800 6950 2850
Wire Wire Line
6950 3150 6950 3200
Wire Wire Line
6200 2550 7700 2550
Wire Wire Line
7350 2550 7350 2600
Wire Wire Line
7350 2900 7350 2950
Wire Wire Line
7700 2550 7700 2800
Wire Wire Line
7700 2800 8050 2800
Wire Wire Line
8050 2250 7950 2250
Wire Wire Line
7950 2250 7950 3000
Wire Wire Line
8050 2450 7950 2450
Connection ~ 7950 2450
Wire Wire Line
8050 2900 7950 2900
Connection ~ 7950 2900
Wire Wire Line
8050 2700 7950 2700
Connection ~ 7950 2700
Wire Wire Line
6200 2400 7700 2400
Wire Wire Line
7700 2400 7700 2350
Wire Wire Line
7700 2350 8050 2350
Connection ~ 7350 2550
Wire Wire Line
6250 3100 6200 3100
Wire Wire Line
6300 2300 6200 2300
Wire Wire Line
2190 2150 3280 2150
Connection ~ 2320 2150
Connection ~ 2660 2900
Wire Wire Line
6800 4170 6970 4170
Connection ~ 6800 4170
Connection ~ 6800 3600
Text Label 6970 3600 0 60 ~ 0
C+
Text Label 6960 4170 0 60 ~ 0
C-
Text Label 7340 2400 0 60 ~ 0
V_OUT2
Text Label 7380 2550 0 60 ~ 0
V_OUT
$EndSCHEMATC