/Designs/Measuring_instruments/RMDS01A/VHDL/gtime/gtime.bit
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/Designs/Measuring_instruments/RMDS01A/VHDL/gtime/src/gtime.vhd
8,7 → 8,7
-- Tool versions: ISE 13.3
-- Description: Time and frequency synchronisation for RDMS01A.
--
-- Dependencies: CLKGEN01B, GPS01A
-- Dependencies: CLKGEN01B, GPS01A, STM32F10xRxT01A
--
-- Version: $Id$
--
187,8 → 187,6
end if;
end process;
 
-- Coding to BCD for LED Display
 
process (Decko)
begin
if Decko = '0' then
199,8 → 197,8
end process;
SCLK <= B(0);
-- SCLK2 <= ((not Decko) OR SCLK);
-- Output Shift Register
process (Decko,SCLK)
begin
if (Decko = '0') then