/Modules/PowerSupply/TPS63060V01A/SCH&PCB/untitled.brd
385,51 → 385,6
</package>
</packages>
</library>
<library name="moje_konektory">
<packages>
<package name="WAGO256">
<wire x1="1.54" y1="-2.5001" x2="7.54" y2="-2.5001" width="0.127" layer="21"/>
<wire x1="1.54" y1="2.5001" x2="7.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="10.0401" y1="2" x2="10.0401" y2="-2" width="0.127" layer="21"/>
<wire x1="10.0401" y1="-2" x2="7.54" y2="-2" width="0.127" layer="21"/>
<wire x1="7.54" y1="-2" x2="6.54" y2="-2" width="0.127" layer="21"/>
<wire x1="6.54" y1="-2" x2="6.54" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="6.54" y1="-1.5001" x2="6.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="6.54" y1="1.5001" x2="6.54" y2="2" width="0.127" layer="21"/>
<wire x1="6.54" y1="2" x2="7.54" y2="2" width="0.127" layer="21"/>
<wire x1="7.54" y1="2" x2="10.0401" y2="2" width="0.127" layer="21"/>
<wire x1="9.54" y1="-1.5001" x2="7.0401" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="7.0401" y1="-1.5001" x2="7.0401" y2="1.5001" width="0.127" layer="21"/>
<wire x1="7.0401" y1="1.5001" x2="9.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="9.54" y1="1.5001" x2="9.54" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="7.54" y1="-2.5001" x2="7.54" y2="-2" width="0.127" layer="21"/>
<wire x1="7.54" y1="2" x2="7.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="6.54" y1="-1.5001" x2="3.54" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="3.54" y1="-1.5001" x2="3.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="3.54" y1="1.5001" x2="6.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="1.54" y1="-2.5001" x2="1.54" y2="-1" width="0.127" layer="21"/>
<wire x1="1.54" y1="-1" x2="1.54" y2="1" width="0.127" layer="21"/>
<wire x1="1.54" y1="1" x2="1.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="1.0399" y1="-2" x2="-6.9601" y2="-2" width="0.127" layer="21"/>
<wire x1="-6.9601" y1="-2" x2="-6.9601" y2="2" width="0.127" layer="21"/>
<wire x1="-6.9601" y1="2" x2="1.0399" y2="2" width="0.127" layer="21"/>
<wire x1="1.0399" y1="2" x2="1.0399" y2="1" width="0.127" layer="21"/>
<wire x1="1.0399" y1="1" x2="1.0399" y2="-1" width="0.127" layer="21"/>
<wire x1="1.0399" y1="-1" x2="1.0399" y2="-2" width="0.127" layer="21"/>
<wire x1="1.54" y1="-2.5001" x2="-7.46" y2="-2.5001" width="0.127" layer="21"/>
<wire x1="-7.46" y1="-2.5001" x2="-7.46" y2="2.5001" width="0.127" layer="21"/>
<wire x1="-7.46" y1="2.5001" x2="1.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="1.0399" y1="-1" x2="1.54" y2="-1" width="0.127" layer="21"/>
<wire x1="1.0399" y1="1" x2="1.54" y2="1" width="0.127" layer="21"/>
<circle x="-2.46" y="0" radius="0.5723" width="0.127" layer="102"/>
<circle x="2.54" y="0" radius="0.5724" width="0.127" layer="102"/>
<pad name="1" x="2.54" y="0" drill="1.3" diameter="3.81" shape="square"/>
<pad name="A1" x="-2.46" y="0" drill="1.3" diameter="3.81" shape="square"/>
<text x="10.4775" y="0.3175" size="1.6764" layer="25">&gt;Name</text>
<text x="10.4775" y="-1.905" size="1.6764" layer="27">&gt;Value</text>
</package>
</packages>
</library>
</libraries>
<attributes>
</attributes>
702,15 → 657,14
<attribute name="OC_FARNELL" value="unknown" x="30.1625" y="13.0175" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="VALUE" x="25.9715" y="14.2875" size="1.27" layer="27" ratio="10" rot="R270"/>
</element>
<element name="SV3" library="moje_konektory" package="WAGO256" value="" x="7.62" y="16.1925" smashed="yes">
<attribute name="VALUE" x="18.0975" y="14.2875" size="1.6764" layer="27"/>
<element name="SV4" library="moje_hrebinky" package="MA02-2" value="" x="26.3525" y="26.035"/>
<element name="SV3" library="moje_hrebinky" package="MA03-2" value="" x="5.715" y="14.2875" rot="R90">
<attribute name="OC_NEWARK" value="unknown" x="5.715" y="14.2875" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="MPN" value="" x="5.715" y="14.2875" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="MF" value="" x="5.715" y="14.2875" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="5.715" y="14.2875" size="1.778" layer="27" rot="R90" display="off"/>
</element>
<element name="SV7" library="moje_konektory" package="WAGO256" value="" x="7.62" y="11.1925" smashed="yes">
<attribute name="VALUE" x="18.0975" y="9.2875" size="1.6764" layer="27"/>
</element>
<element name="SV4" library="moje_hrebinky" package="MA02-2" value="" x="2.2225" y="-6.0325"/>
<element name="SV8" library="moje_konektory" package="WAGO256" value="" x="6.6675" y="-11.43"/>
<element name="SV9" library="moje_konektory" package="WAGO256" value="" x="6.6675" y="-16.8275"/>
<element name="SV7" library="moje_hrebinky" package="MA02-1" value="MA02-1" x="22.225" y="25.0825" rot="R90"/>
</elements>
<signals>
<signal name="GND">
746,8 → 700,6
<contactref element="SV2" pad="1"/>
<contactref element="SV2" pad="6"/>
<contactref element="SV2" pad="5"/>
<contactref element="SV7" pad="1"/>
<contactref element="SV7" pad="A1"/>
<polygon width="0.3048" layer="16" rank="2">
<vertex x="-0.9525" y="30.7975"/>
<vertex x="-0.9525" y="-0.9525"/>
852,10 → 804,122
<contactref element="X5" pad="P$3"/>
<contactref element="X5" pad="P$4"/>
<contactref element="X5" pad="P$5"/>
<contactref element="SV9" pad="A1"/>
<contactref element="SV9" pad="1"/>
<wire x1="9.2075" y1="-16.8275" x2="4.2075" y2="-16.8275" width="0" layer="19" extent="1-1"/>
<wire x1="12.065" y1="3.4925" x2="9.2075" y2="-16.8275" width="0" layer="19" extent="1-1"/>
<contactref element="SV3" pad="2"/>
<contactref element="SV3" pad="1"/>
<contactref element="SV3" pad="5"/>
<contactref element="SV3" pad="6"/>
<wire x1="35.2425" y1="17.145" x2="35.56" y2="25.4" width="0" layer="19" extent="1-1"/>
<wire x1="36.5125" y1="17.145" x2="35.2425" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="33.9725" y1="17.145" x2="35.2425" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="32.7025" y1="17.145" x2="33.9725" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="31.4325" y1="17.145" x2="32.7025" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="30.1625" y1="17.145" x2="31.4325" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="28.8925" y1="17.145" x2="30.1625" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="38.1" y1="17.145" x2="36.5125" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="38.4175" y1="15.875" x2="38.1" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="39.0525" y1="14.9225" x2="38.4175" y2="15.875" width="0" layer="19" extent="1-1"/>
<wire x1="39.0525" y1="13.6525" x2="39.0525" y2="14.9225" width="0" layer="19" extent="1-1"/>
<wire x1="39.0525" y1="12.3825" x2="39.0525" y2="13.6525" width="0" layer="19" extent="1-1"/>
<wire x1="39.0525" y1="11.1125" x2="39.0525" y2="12.3825" width="0" layer="19" extent="1-1"/>
<wire x1="38.4175" y1="9.8425" x2="39.0525" y2="11.1125" width="0" layer="19" extent="1-1"/>
<wire x1="37.465" y1="8.89" x2="38.4175" y2="9.8425" width="0" layer="19" extent="1-1"/>
<wire x1="36.195" y1="8.89" x2="37.465" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="34.925" y1="8.89" x2="36.195" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="33.655" y1="8.89" x2="34.925" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="32.385" y1="8.89" x2="33.655" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="36.5125" y1="15.5575" x2="36.5125" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="33.9725" y1="15.5575" x2="33.9725" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="31.4325" y1="15.5575" x2="31.4325" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="28.8925" y1="15.5575" x2="28.8925" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="36.5125" y1="10.4775" x2="36.195" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="33.9725" y1="10.4775" x2="33.655" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="31.4325" y1="10.4775" x2="32.385" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="26.3525" y1="17.4625" x2="28.8925" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="25.08" y1="17.145" x2="26.3525" y2="17.4625" width="0" layer="19" extent="16-16"/>
<wire x1="26.3525" y1="19.0525" x2="26.3525" y2="17.4625" width="0" layer="19" extent="16-16"/>
<wire x1="25.0825" y1="18.7325" x2="26.3525" y2="19.0525" width="0" layer="19" extent="16-16"/>
<wire x1="23.8125" y1="18.7325" x2="25.0825" y2="18.7325" width="0" layer="19" extent="1-1"/>
<wire x1="26.035" y1="15.875" x2="25.08" y2="17.145" width="0" layer="19" extent="16-16"/>
<wire x1="25.0825" y1="15.24" x2="26.035" y2="15.875" width="0" layer="19" extent="1-1"/>
<wire x1="24.13" y1="15.5575" x2="25.0825" y2="15.24" width="0" layer="19" extent="1-1"/>
<wire x1="22.2225" y1="18.7325" x2="23.8125" y2="18.7325" width="0" layer="19" extent="16-16"/>
<wire x1="28.8925" y1="10.4775" x2="31.4325" y2="10.4775" width="0" layer="19" extent="1-1"/>
<wire x1="31.115" y1="6.035" x2="32.385" y2="8.89" width="0" layer="19" extent="16-16"/>
<wire x1="30.7975" y1="4.7625" x2="31.115" y2="6.035" width="0" layer="19" extent="16-16"/>
<wire x1="30.7975" y1="3.4925" x2="30.7975" y2="4.7625" width="0" layer="19" extent="1-1"/>
<wire x1="29.21" y1="5.08" x2="30.7975" y2="4.7625" width="0" layer="19" extent="1-1"/>
<wire x1="27.6225" y1="5.08" x2="29.21" y2="5.08" width="0" layer="19" extent="1-1"/>
<wire x1="26.67" y1="4.445" x2="27.6225" y2="5.08" width="0" layer="19" extent="1-1"/>
<wire x1="25.4" y1="3.175" x2="26.67" y2="4.445" width="0" layer="19" extent="1-1"/>
<wire x1="24.13" y1="3.175" x2="25.4" y2="3.175" width="0" layer="19" extent="1-1"/>
<wire x1="22.5425" y1="3.175" x2="24.13" y2="3.175" width="0" layer="19" extent="1-1"/>
<wire x1="21.2725" y1="3.81" x2="22.5425" y2="3.175" width="0" layer="19" extent="1-1"/>
<wire x1="20.6375" y1="4.7625" x2="21.2725" y2="3.81" width="0" layer="19" extent="1-1"/>
<wire x1="20.6375" y1="6.0325" x2="20.6375" y2="4.7625" width="0" layer="19" extent="1-1"/>
<wire x1="20.6375" y1="7.3025" x2="20.6375" y2="6.0325" width="0" layer="19" extent="1-1"/>
<wire x1="20.6375" y1="8.5725" x2="20.6375" y2="7.3025" width="0" layer="19" extent="1-1"/>
<wire x1="20.6375" y1="9.8425" x2="20.6375" y2="8.5725" width="0" layer="19" extent="1-1"/>
<wire x1="19.685" y1="10.795" x2="20.6375" y2="9.8425" width="0" layer="19" extent="1-1"/>
<wire x1="18.7325" y1="10.4775" x2="19.685" y2="10.795" width="0" layer="19" extent="1-1"/>
<wire x1="18.7325" y1="11.7475" x2="18.7325" y2="10.4775" width="0" layer="19" extent="1-1"/>
<wire x1="18.7325" y1="9.2075" x2="18.7325" y2="10.4775" width="0" layer="19" extent="1-1"/>
<wire x1="18.7325" y1="7.9375" x2="18.7325" y2="9.2075" width="0" layer="19" extent="1-1"/>
<wire x1="18.7325" y1="6.6675" x2="18.7325" y2="7.9375" width="0" layer="19" extent="1-1"/>
<wire x1="18.7325" y1="5.3975" x2="18.7325" y2="6.6675" width="0" layer="19" extent="1-1"/>
<wire x1="18.415" y1="13.335" x2="18.7325" y2="11.7475" width="0" layer="19" extent="1-1"/>
<wire x1="18.215" y1="14.45" x2="18.415" y2="13.335" width="0" layer="19" extent="16-16"/>
<wire x1="17.815" y1="14.45" x2="18.215" y2="14.45" width="0" layer="19" extent="16-16"/>
<wire x1="18.615" y1="14.45" x2="18.215" y2="14.45" width="0" layer="19" extent="16-16"/>
<wire x1="18.815" y1="16.275" x2="18.8675" y2="16.375" width="0" layer="19" extent="16-16"/>
<wire x1="19.015" y1="17.3" x2="18.7325" y2="17.1825" width="0" layer="19" extent="16-16"/>
<wire x1="18.815" y1="15.475" x2="18.615" y2="15.675" width="0" layer="19" extent="16-16"/>
<wire x1="18.215" y1="17.3" x2="18.615" y2="17.3" width="0" layer="19" extent="16-16"/>
<wire x1="17.815" y1="17.3" x2="18.215" y2="17.3" width="0" layer="19" extent="16-16"/>
<wire x1="19.015" y1="14.45" x2="18.615" y2="14.45" width="0" layer="19" extent="16-16"/>
<wire x1="18.015" y1="16.275" x2="18.415" y2="15.875" width="0" layer="19" extent="16-16"/>
<wire x1="18.015" y1="15.475" x2="18.415" y2="15.875" width="0" layer="19" extent="16-16"/>
<wire x1="19.05" y1="18.0975" x2="19.015" y2="17.3" width="0" layer="19" extent="16-16"/>
<wire x1="18.415" y1="3.81" x2="18.7325" y2="5.3975" width="0" layer="19" extent="1-1"/>
<wire x1="16.8275" y1="3.4925" x2="18.415" y2="3.81" width="0" layer="19" extent="1-1"/>
<wire x1="15.24" y1="3.4925" x2="16.8275" y2="3.4925" width="0" layer="19" extent="1-1"/>
<wire x1="13.6525" y1="3.4925" x2="15.24" y2="3.4925" width="0" layer="19" extent="1-1"/>
<wire x1="12.065" y1="3.4925" x2="13.6525" y2="3.4925" width="0" layer="19" extent="1-1"/>
<wire x1="11.43" y1="4.7625" x2="12.065" y2="3.4925" width="0" layer="19" extent="1-1"/>
<wire x1="11.43" y1="6.0325" x2="11.43" y2="4.7625" width="0" layer="19" extent="1-1"/>
<wire x1="11.43" y1="7.3025" x2="11.43" y2="6.0325" width="0" layer="19" extent="1-1"/>
<wire x1="11.1125" y1="8.5725" x2="11.43" y2="7.3025" width="0" layer="19" extent="1-1"/>
<wire x1="9.8425" y1="8.5725" x2="11.1125" y2="8.5725" width="0" layer="19" extent="1-1"/>
<wire x1="8.5725" y1="8.5725" x2="9.8425" y2="8.5725" width="0" layer="19" extent="1-1"/>
<wire x1="7.3025" y1="8.5725" x2="8.5725" y2="8.5725" width="0" layer="19" extent="1-1"/>
<wire x1="28.2575" y1="2.2225" x2="26.67" y2="4.445" width="0" layer="19" extent="16-16"/>
<wire x1="25.7175" y1="10.795" x2="28.8925" y2="10.4775" width="0" layer="19" extent="1-1"/>
<wire x1="13.0175" y1="11.7475" x2="11.1125" y2="8.5725" width="0" layer="19" extent="1-1"/>
<wire x1="13.6525" y1="13.9725" x2="13.0175" y2="11.7475" width="0" layer="19" extent="16-16"/>
<wire x1="35.56" y1="5.08" x2="36.195" y2="8.89" width="0" layer="19" extent="1-1"/>
<wire x1="5.08" y1="5.08" x2="7.3025" y2="8.5725" width="0" layer="19" extent="1-1"/>
<wire x1="3.175" y1="8.5725" x2="5.08" y2="5.08" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="9.2075" x2="3.175" y2="8.5725" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="10.4775" x2="2.2225" y2="9.2075" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="11.7475" x2="2.2225" y2="10.4775" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="13.0175" x2="2.2225" y2="11.7475" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="14.605" x2="2.2225" y2="13.0175" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="15.875" x2="2.2225" y2="14.605" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="17.145" x2="2.2225" y2="15.875" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="18.415" x2="2.2225" y2="17.145" width="0" layer="19" extent="1-1"/>
<wire x1="1.905" y1="19.685" x2="2.2225" y2="18.415" width="0" layer="19" extent="1-1"/>
<wire x1="2.2225" y1="20.955" x2="1.905" y2="19.685" width="0" layer="19" extent="1-1"/>
<wire x1="2.8575" y1="22.225" x2="2.2225" y2="20.955" width="0" layer="19" extent="1-1"/>
<wire x1="3.665" y1="19.685" x2="1.905" y2="19.685" width="0" layer="19" extent="16-16"/>
<wire x1="5.08" y1="25.4" x2="2.8575" y2="22.225" width="0" layer="19" extent="1-1"/>
<wire x1="18.415" y1="23.495" x2="19.05" y2="18.0975" width="0" layer="19" extent="1-1"/>
<wire x1="19.685" y1="24.765" x2="18.415" y2="23.495" width="0" layer="19" extent="1-1"/>
<wire x1="20" y1="26.205" x2="19.685" y2="24.765" width="0" layer="19" extent="16-16"/>
<wire x1="17.145" y1="24.765" x2="18.415" y2="23.495" width="0" layer="19" extent="1-1"/>
<wire x1="14.2875" y1="24.511" x2="17.145" y2="24.765" width="0" layer="19" extent="16-16"/>
<wire x1="4.445" y1="16.8275" x2="12.065" y2="3.4925" width="0" layer="19" extent="1-1"/>
<wire x1="6.985" y1="16.8275" x2="4.445" y2="16.8275" width="0" layer="19" extent="1-1"/>
<wire x1="6.985" y1="11.7475" x2="6.985" y2="16.8275" width="0" layer="19" extent="1-1"/>
<wire x1="4.445" y1="11.7475" x2="6.985" y2="11.7475" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="+BAT2">
<contactref element="C16" pad="2"/>
920,9 → 984,6
</signal>
<signal name="N$4">
<contactref element="P1" pad="1"/>
<contactref element="SV3" pad="1"/>
<contactref element="SV3" pad="A1"/>
<wire x1="5.16" y1="16.1925" x2="10.16" y2="16.1925" width="1.9304" layer="16"/>
<polygon width="0.254" layer="16">
<vertex x="8.5725" y="14.9225"/>
<vertex x="11.7475" y="14.9225"/>
934,6 → 995,10
<vertex x="9.2075" y="18.7325"/>
<vertex x="8.5725" y="18.0975"/>
</polygon>
<contactref element="SV3" pad="4"/>
<contactref element="SV3" pad="3"/>
<wire x1="4.445" y1="14.2875" x2="10.795" y2="19.64" width="0" layer="19" extent="16-16"/>
<wire x1="6.985" y1="14.2875" x2="4.445" y2="14.2875" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$1">
<contactref element="X9" pad="2"/>
979,22 → 1044,22
<wire x1="28.2575" y1="20.005" x2="28.2575" y2="17.78" width="0.3048" layer="16"/>
<wire x1="28.2575" y1="17.78" x2="26.9875" y2="16.51" width="0.3048" layer="16"/>
<wire x1="26.9875" y1="16.51" x2="26.9875" y2="13.0175" width="0.3048" layer="16"/>
<contactref element="SV8" pad="A1"/>
<contactref element="SV8" pad="1"/>
<wire x1="9.2075" y1="-11.43" x2="4.2075" y2="-11.43" width="0" layer="19" extent="1-1"/>
<wire x1="28.2575" y1="7.3025" x2="9.2075" y2="-11.43" width="0" layer="19" extent="16-16"/>
<wire x1="33.9725" y1="13.0175" x2="36.5125" y2="13.0175" width="0" layer="19" extent="1-1"/>
<wire x1="31.4325" y1="13.0175" x2="33.9725" y2="13.0175" width="0" layer="19" extent="1-1"/>
<wire x1="28.8925" y1="13.0175" x2="31.4325" y2="13.0175" width="0" layer="19" extent="1-1"/>
<wire x1="19.89" y1="15.375" x2="22.2225" y2="20.6375" width="0" layer="19" extent="16-16"/>
</signal>
<signal name="N$7">
<contactref element="R4" pad="1"/>
<wire x1="24.4475" y1="21.905" x2="24.4475" y2="22.86" width="0.3048" layer="16"/>
<contactref element="SV4" pad="1"/>
<wire x1="0.9525" y1="-7.3025" x2="24.4475" y2="21.905" width="0" layer="19" extent="16-16"/>
<wire x1="25.0825" y1="24.765" x2="24.4475" y2="21.905" width="0" layer="19" extent="16-16"/>
</signal>
<signal name="N$9">
<contactref element="R5" pad="1"/>
<wire x1="28.2575" y1="21.905" x2="28.2575" y2="24.13" width="0.3048" layer="16"/>
<contactref element="SV4" pad="2"/>
<wire x1="0.9525" y1="-4.7625" x2="28.2575" y2="21.905" width="0" layer="19" extent="16-16"/>
<wire x1="25.0825" y1="27.305" x2="28.2575" y2="21.905" width="0" layer="19" extent="16-16"/>
</signal>
<signal name="N$13">
<contactref element="SV6" pad="1"/>
1016,13 → 1081,15
<contactref element="R3" pad="1"/>
<contactref element="X5" pad="5"/>
<wire x1="16.94" y1="16.875" x2="16.94" y2="17.575" width="0.3048" layer="16"/>
<wire x1="16.94" y1="17.575" x2="17.78" y2="18.415" width="0.3048" layer="16"/>
<wire x1="17.78" y1="18.415" x2="18.0975" y2="18.7325" width="0.3048" layer="16"/>
<wire x1="16.94" y1="17.575" x2="18.0975" y2="18.7325" width="0.3048" layer="16"/>
<wire x1="18.0975" y1="18.7325" x2="18.415" y2="18.7325" width="0.3048" layer="16"/>
<wire x1="18.415" y1="18.7325" x2="19.685" y2="20.0025" width="0.3048" layer="16"/>
<wire x1="19.685" y1="20.0025" x2="20.0025" y2="20.32" width="0.3048" layer="16"/>
<wire x1="18.415" y1="18.7325" x2="20.0025" y2="20.32" width="0.3048" layer="16"/>
<wire x1="20.0025" y1="20.32" x2="20.3225" y2="20.32" width="0.3048" layer="16"/>
<wire x1="20.3225" y1="20.32" x2="20.3225" y2="20.6375" width="0.3048" layer="16"/>
<contactref element="SV7" pad="2"/>
<contactref element="SV7" pad="1"/>
<wire x1="22.225" y1="26.3525" x2="16.94" y2="16.875" width="0" layer="19" extent="16-16"/>
<wire x1="22.225" y1="23.8125" x2="22.225" y2="26.3525" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$15">
<contactref element="X5" pad="1"/>
1060,8 → 1127,8
<contactref element="SV4" pad="3"/>
<contactref element="SV4" pad="4"/>
<wire x1="23.18" y1="17.145" x2="26.3525" y2="20.9525" width="0" layer="19" extent="16-16"/>
<wire x1="3.4925" y1="-4.7625" x2="23.18" y2="17.145" width="0" layer="19" extent="16-16"/>
<wire x1="3.4925" y1="-7.3025" x2="3.4925" y2="-4.7625" width="0" layer="19" extent="1-1"/>
<wire x1="27.6225" y1="27.305" x2="23.18" y2="17.145" width="0" layer="19" extent="16-16"/>
<wire x1="27.6225" y1="24.765" x2="27.6225" y2="27.305" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$2">
<contactref element="X5" pad="8"/>
/Modules/PowerSupply/TPS63060V01A/SCH&PCB/untitled.sch
785,80 → 785,6
</deviceset>
</devicesets>
</library>
<library name="moje_konektory">
<packages>
<package name="WAGO256">
<wire x1="1.54" y1="-2.5001" x2="7.54" y2="-2.5001" width="0.127" layer="21"/>
<wire x1="1.54" y1="2.5001" x2="7.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="10.0401" y1="2" x2="10.0401" y2="-2" width="0.127" layer="21"/>
<wire x1="10.0401" y1="-2" x2="7.54" y2="-2" width="0.127" layer="21"/>
<wire x1="7.54" y1="-2" x2="6.54" y2="-2" width="0.127" layer="21"/>
<wire x1="6.54" y1="-2" x2="6.54" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="6.54" y1="-1.5001" x2="6.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="6.54" y1="1.5001" x2="6.54" y2="2" width="0.127" layer="21"/>
<wire x1="6.54" y1="2" x2="7.54" y2="2" width="0.127" layer="21"/>
<wire x1="7.54" y1="2" x2="10.0401" y2="2" width="0.127" layer="21"/>
<wire x1="9.54" y1="-1.5001" x2="7.0401" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="7.0401" y1="-1.5001" x2="7.0401" y2="1.5001" width="0.127" layer="21"/>
<wire x1="7.0401" y1="1.5001" x2="9.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="9.54" y1="1.5001" x2="9.54" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="7.54" y1="-2.5001" x2="7.54" y2="-2" width="0.127" layer="21"/>
<wire x1="7.54" y1="2" x2="7.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="6.54" y1="-1.5001" x2="3.54" y2="-1.5001" width="0.127" layer="21"/>
<wire x1="3.54" y1="-1.5001" x2="3.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="3.54" y1="1.5001" x2="6.54" y2="1.5001" width="0.127" layer="21"/>
<wire x1="1.54" y1="-2.5001" x2="1.54" y2="-1" width="0.127" layer="21"/>
<wire x1="1.54" y1="-1" x2="1.54" y2="1" width="0.127" layer="21"/>
<wire x1="1.54" y1="1" x2="1.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="1.0399" y1="-2" x2="-6.9601" y2="-2" width="0.127" layer="21"/>
<wire x1="-6.9601" y1="-2" x2="-6.9601" y2="2" width="0.127" layer="21"/>
<wire x1="-6.9601" y1="2" x2="1.0399" y2="2" width="0.127" layer="21"/>
<wire x1="1.0399" y1="2" x2="1.0399" y2="1" width="0.127" layer="21"/>
<wire x1="1.0399" y1="1" x2="1.0399" y2="-1" width="0.127" layer="21"/>
<wire x1="1.0399" y1="-1" x2="1.0399" y2="-2" width="0.127" layer="21"/>
<wire x1="1.54" y1="-2.5001" x2="-7.46" y2="-2.5001" width="0.127" layer="21"/>
<wire x1="-7.46" y1="-2.5001" x2="-7.46" y2="2.5001" width="0.127" layer="21"/>
<wire x1="-7.46" y1="2.5001" x2="1.54" y2="2.5001" width="0.127" layer="21"/>
<wire x1="1.0399" y1="-1" x2="1.54" y2="-1" width="0.127" layer="21"/>
<wire x1="1.0399" y1="1" x2="1.54" y2="1" width="0.127" layer="21"/>
<circle x="-2.46" y="0" radius="0.5723" width="0.127" layer="102"/>
<circle x="2.54" y="0" radius="0.5724" width="0.127" layer="102"/>
<pad name="1" x="2.54" y="0" drill="1.3" diameter="3.81" shape="square"/>
<pad name="A1" x="-2.46" y="0" drill="1.3" diameter="3.81" shape="square"/>
<text x="10.4775" y="0.3175" size="1.6764" layer="25">&gt;Name</text>
<text x="10.4775" y="-1.905" size="1.6764" layer="27">&gt;Value</text>
</package>
</packages>
<symbols>
<symbol name="WAGO">
<wire x1="-2.54" y1="2.54" x2="-2.54" y2="-2.54" width="0.254" layer="94"/>
<wire x1="-2.54" y1="-2.54" x2="7.62" y2="-2.54" width="0.254" layer="94"/>
<wire x1="7.62" y1="-2.54" x2="7.62" y2="2.54" width="0.254" layer="94"/>
<wire x1="7.62" y1="2.54" x2="-2.54" y2="2.54" width="0.254" layer="94"/>
<pin name="P$1" x="7.62" y="0" visible="off" length="point" direction="pas"/>
<text x="-2.54" y="0" size="1.27" layer="95">&gt;NAME</text>
<text x="-2.54" y="-2.54" size="1.27" layer="95">&gt;VALUE</text>
</symbol>
</symbols>
<devicesets>
<deviceset name="WAGO256" prefix="SV" uservalue="yes">
<description>WAGO256</description>
<gates>
<gate name="G$1" symbol="WAGO" x="-2.54" y="0"/>
</gates>
<devices>
<device name="" package="WAGO256">
<connects>
<connect gate="G$1" pin="P$1" pad="1 A1"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
</devices>
</deviceset>
</devicesets>
</library>
</libraries>
<attributes>
</attributes>
907,12 → 833,9
<part name="L1" library="moje_L" deviceset="DE1205-10" device="" value="DE1207-1"/>
<part name="SV2" library="moje_hrebinky" deviceset="MA03-2" device=""/>
<part name="GND7" library="moje" deviceset="GND" device=""/>
<part name="SV3" library="moje_konektory" deviceset="WAGO256" device=""/>
<part name="SV7" library="moje_konektory" deviceset="WAGO256" device=""/>
<part name="SV4" library="moje_hrebinky" deviceset="MA02-3" device=""/>
<part name="SV8" library="moje_konektory" deviceset="WAGO256" device=""/>
<part name="SV9" library="moje_konektory" deviceset="WAGO256" device=""/>
<part name="GND11" library="moje" deviceset="GND" device=""/>
<part name="SV3" library="moje_hrebinky" deviceset="MA03-2" device=""/>
<part name="SV7" library="moje_hrebinky" deviceset="MA02-1" device=""/>
</parts>
<sheets>
<sheet>
920,6 → 843,8
<text x="77.47" y="5.08" size="1.778" layer="97">OUTPUT</text>
<text x="-39.37" y="-3.81" size="1.778" layer="91">MODE</text>
<text x="77.47" y="-17.78" size="1.778" layer="97">OUTPUT</text>
<text x="30.48" y="7.62" size="1.778" layer="97" rot="R90">For 5V</text>
<text x="22.86" y="7.62" size="1.778" layer="97" rot="R90">For 3.3V</text>
</plain>
<instances>
<instance part="C16" gate="G$1" x="-73.66" y="3.81" smashed="yes" rot="R180">
982,15 → 907,15
<instance part="SV2" gate="-2" x="72.39" y="-19.05"/>
<instance part="SV2" gate="-3" x="72.39" y="-22.86"/>
<instance part="GND7" gate="G$1" x="64.77" y="-30.48"/>
<instance part="SV3" gate="G$1" x="-114.3" y="8.89"/>
<instance part="SV7" gate="G$1" x="-114.3" y="2.54"/>
<instance part="SV4" gate="-1" x="21.59" y="-19.05" rot="R90"/>
<instance part="SV4" gate="-2" x="29.21" y="-19.05" rot="R90"/>
<instance part="SV4" gate="-3" x="21.59" y="-29.21" rot="R270"/>
<instance part="SV4" gate="-4" x="29.21" y="-29.21" rot="R270"/>
<instance part="SV8" gate="G$1" x="72.39" y="22.86" rot="R180"/>
<instance part="SV9" gate="G$1" x="72.39" y="16.51" rot="R180"/>
<instance part="GND11" gate="G$1" x="62.23" y="13.97"/>
<instance part="SV3" gate="-1" x="-114.3" y="13.97" rot="R180"/>
<instance part="SV3" gate="-2" x="-114.3" y="8.89" rot="R180"/>
<instance part="SV3" gate="-3" x="-114.3" y="3.81" rot="R180"/>
<instance part="SV7" gate="-1" x="40.64" y="-11.43" rot="R180"/>
<instance part="SV7" gate="-2" x="40.64" y="-15.24" rot="R180"/>
</instances>
<busses>
</busses>
1022,10 → 947,14
<wire x1="-67.31" y1="-7.62" x2="-67.31" y2="-3.81" width="0.1524" layer="91"/>
</segment>
<segment>
<wire x1="-104.14" y1="2.54" x2="-104.14" y2="-2.54" width="0.1524" layer="91"/>
<wire x1="-106.68" y1="2.54" x2="-104.14" y2="2.54" width="0.1524" layer="91"/>
<pinref part="GND1" gate="G$1" pin="GND"/>
<pinref part="SV7" gate="G$1" pin="P$1"/>
<pinref part="SV3" gate="-1" pin="K"/>
<wire x1="-109.22" y1="13.97" x2="-104.14" y2="13.97" width="0.1524" layer="91"/>
<wire x1="-104.14" y1="13.97" x2="-104.14" y2="3.81" width="0.1524" layer="91"/>
<pinref part="SV3" gate="-3" pin="K"/>
<wire x1="-104.14" y1="3.81" x2="-104.14" y2="-2.54" width="0.1524" layer="91"/>
<wire x1="-109.22" y1="3.81" x2="-104.14" y2="3.81" width="0.1524" layer="91"/>
<junction x="-104.14" y="3.81"/>
</segment>
<segment>
<pinref part="D1" gate="G$1" pin="A"/>
1095,12 → 1024,6
<junction x="64.77" y="-22.86"/>
<pinref part="GND7" gate="G$1" pin="GND"/>
</segment>
<segment>
<pinref part="SV9" gate="G$1" pin="P$1"/>
<pinref part="GND11" gate="G$1" pin="GND"/>
<wire x1="64.77" y1="16.51" x2="62.23" y2="16.51" width="0.1524" layer="91"/>
<wire x1="62.23" y1="16.51" x2="62.23" y2="13.97" width="0.1524" layer="91"/>
</segment>
</net>
<net name="+BAT2" class="0">
<segment>
1142,8 → 1065,8
<net name="N$4" class="0">
<segment>
<pinref part="P1" gate="G$1" pin="1"/>
<wire x1="-106.68" y1="8.89" x2="-102.87" y2="8.89" width="0.1524" layer="91"/>
<pinref part="SV3" gate="G$1" pin="P$1"/>
<wire x1="-109.22" y1="8.89" x2="-102.87" y2="8.89" width="0.1524" layer="91"/>
<pinref part="SV3" gate="-2" pin="K"/>
</segment>
</net>
<net name="N$1" class="0">
1176,17 → 1099,12
<pinref part="SV5" gate="-2" pin="K"/>
<wire x1="67.31" y1="3.81" x2="60.96" y2="3.81" width="0.1524" layer="91"/>
<pinref part="SV2" gate="-2" pin="K"/>
<wire x1="60.96" y1="3.81" x2="58.42" y2="3.81" width="0.1524" layer="91"/>
<wire x1="58.42" y1="3.81" x2="54.61" y2="3.81" width="0.1524" layer="91"/>
<wire x1="60.96" y1="3.81" x2="54.61" y2="3.81" width="0.1524" layer="91"/>
<wire x1="67.31" y1="-19.05" x2="60.96" y2="-19.05" width="0.1524" layer="91"/>
<wire x1="60.96" y1="-19.05" x2="60.96" y2="3.81" width="0.1524" layer="91"/>
<junction x="54.61" y="3.81"/>
<junction x="60.96" y="3.81"/>
<pinref part="X5" gate="G$1" pin="VOUT"/>
<pinref part="SV8" gate="G$1" pin="P$1"/>
<wire x1="64.77" y1="22.86" x2="58.42" y2="22.86" width="0.1524" layer="91"/>
<wire x1="58.42" y1="22.86" x2="58.42" y2="3.81" width="0.1524" layer="91"/>
<junction x="58.42" y="3.81"/>
</segment>
</net>
<net name="N$7" class="0">
1222,10 → 1140,18
</net>
<net name="N$6" class="0">
<segment>
<wire x1="12.7" y1="-8.89" x2="40.64" y2="-8.89" width="0.1524" layer="91"/>
<wire x1="12.7" y1="-8.89" x2="33.02" y2="-8.89" width="0.1524" layer="91"/>
<wire x1="33.02" y1="-8.89" x2="40.64" y2="-8.89" width="0.1524" layer="91"/>
<wire x1="40.64" y1="-8.89" x2="40.64" y2="-6.35" width="0.1524" layer="91"/>
<pinref part="R3" gate="G$1" pin="1"/>
<pinref part="X5" gate="G$1" pin="PG"/>
<pinref part="SV7" gate="-2" pin="P$1"/>
<wire x1="40.64" y1="-15.24" x2="33.02" y2="-15.24" width="0.1524" layer="91"/>
<wire x1="33.02" y1="-15.24" x2="33.02" y2="-11.43" width="0.1524" layer="91"/>
<pinref part="SV7" gate="-1" pin="P$1"/>
<wire x1="33.02" y1="-11.43" x2="33.02" y2="-8.89" width="0.1524" layer="91"/>
<wire x1="40.64" y1="-11.43" x2="33.02" y2="-11.43" width="0.1524" layer="91"/>
<junction x="33.02" y="-8.89"/>
</segment>
</net>
<net name="N$15" class="0">