Rev 2528 Rev 2533
1 ---------------------------------------------------------------------------------- 1 ----------------------------------------------------------------------------------
2 -- Company: www.mlab.cz 2 -- Company: www.mlab.cz
3 -- Engineer: miho, kakl 3 -- Based on code writen by MIHO.
4 -- 4 --
5 -- Create Date: 29/08/2011 5 -- Create Date: 29/08/2011
6 -- Design Name: S3AN01A Test Design 6 -- Design Name: S3AN01A Test Design
7 -- Module Name: PulseGen -  
8 -- Project Name: PulseGen 7 -- Project Name: PulseGen
9 -- Target Devices: XC3S50AN-4 8 -- Target Devices: XC3S50AN-4
10 -- Tool versions: ISE 13.3 9 -- Tool versions: ISE 13.3
11 -- Description: Sample of Pulse Generator at S3AN01A MLAB board. 10 -- Description: Sample of Pulse Generator at S3AN01A MLAB board.
12 -- 11 --
13 -- Dependencies: External PS/2 Keyboard has to be connected. 12 -- Dependencies: External PS/2 Keyboard has to be connected.
14 -- 13 --
15 -- Revision: 1.00 File Created 14 -- Version: $Id: PulseGen.vhd 2533 2012-09-02 13:36:25Z kakl $
16 -- 15 --
17 ---------------------------------------------------------------------------------- 16 ----------------------------------------------------------------------------------
18   17  
19 library IEEE; 18 library IEEE;
20 use IEEE.STD_LOGIC_1164.ALL; 19 use IEEE.STD_LOGIC_1164.ALL;
21 use IEEE.numeric_std.ALL; 20 use IEEE.numeric_std.ALL;
22 use WORK.PS2_pkg.ALL; 21 use WORK.PS2_pkg.ALL;
23   22  
24 library UNISIM; 23 library UNISIM;
25 use UNISIM.vcomponents.all; 24 use UNISIM.vcomponents.all;
26   25  
27 entity PulseGen is 26 entity PulseGen is
28 generic ( 27 generic (
29 -- Top Value for 100MHz Clock Counter 28 -- Top Value for 100MHz Clock Counter
30 MAXCOUNT: integer := 30_000_000; 29 MAXCOUNT: integer := 30_000_000;
31 MUXCOUNT: integer := 100_000 -- LED Display Multiplex Clock Divider 30 MUXCOUNT: integer := 100_000 -- LED Display Multiplex Clock Divider
32 ); 31 );
33 port ( 32 port (
34 -- Main Clock 33 -- Main Clock
35 CLK100MHz: in std_logic; 34 CLK100MHz: in std_logic;
36   35  
37 -- Mode Signals (usualy not used) 36 -- Mode Signals (usualy not used)
38 M: in std_logic_vector(2 downto 0); 37 M: in std_logic_vector(2 downto 0);
39 VS: in std_logic_vector(2 downto 0); 38 VS: in std_logic_vector(2 downto 0);
40   39  
41 -- Dipswitch Inputs 40 -- Dipswitch Inputs
42 DIPSW: in std_logic_vector(7 downto 0); 41 DIPSW: in std_logic_vector(7 downto 0);
43   42  
44 -- Push Buttons 43 -- Push Buttons
45 PB: in std_logic_vector(3 downto 0); 44 PB: in std_logic_vector(3 downto 0);
46   45  
47 -- LED Bar Outputs 46 -- LED Bar Outputs
48 LED: out std_logic_vector(7 downto 0); 47 LED: out std_logic_vector(7 downto 0);
49   48  
50 -- LED Display (8 digit with 7 segments and ddecimal point) 49 -- LED Display (8 digit with 7 segments and ddecimal point)
51 LD_A_n: out std_logic; 50 LD_A_n: out std_logic;
52 LD_B_n: out std_logic; 51 LD_B_n: out std_logic;
53 LD_C_n: out std_logic; 52 LD_C_n: out std_logic;
54 LD_D_n: out std_logic; 53 LD_D_n: out std_logic;
55 LD_E_n: out std_logic; 54 LD_E_n: out std_logic;
56 LD_F_n: out std_logic; 55 LD_F_n: out std_logic;
57 LD_G_n: out std_logic; 56 LD_G_n: out std_logic;
58 LD_DP_n: out std_logic; 57 LD_DP_n: out std_logic;
59 LD_0_n: out std_logic; 58 LD_0_n: out std_logic;
60 LD_1_n: out std_logic; 59 LD_1_n: out std_logic;
61 LD_2_n: out std_logic; 60 LD_2_n: out std_logic;
62 LD_3_n: out std_logic; 61 LD_3_n: out std_logic;
63 LD_4_n: out std_logic; 62 LD_4_n: out std_logic;
64 LD_5_n: out std_logic; 63 LD_5_n: out std_logic;
65 LD_6_n: out std_logic; 64 LD_6_n: out std_logic;
66 LD_7_n: out std_logic; 65 LD_7_n: out std_logic;
67   66  
68 -- VGA Video Out Port 67 -- VGA Video Out Port
69 VGA_R: out std_logic_vector(1 downto 0); 68 VGA_R: out std_logic_vector(1 downto 0);
70 VGA_G: out std_logic_vector(1 downto 0); 69 VGA_G: out std_logic_vector(1 downto 0);
71 VGA_B: out std_logic_vector(1 downto 0); 70 VGA_B: out std_logic_vector(1 downto 0);
72 VGA_VS: out std_logic; 71 VGA_VS: out std_logic;
73 VGA_HS: out std_logic; 72 VGA_HS: out std_logic;
74   73  
75 -- Bank 1 Pins - Inputs for this Test 74 -- Bank 1 Pins - Inputs for this Test
76 B: inout std_logic_vector(24 downto 0); 75 B: inout std_logic_vector(24 downto 0);
77 76
78 -- PS/2 Bidirectional Port (open collector, J31 and J32) 77 -- PS/2 Bidirectional Port (open collector, J31 and J32)
79 -- PS2_CLK1: inout std_logic; 78 -- PS2_CLK1: inout std_logic;
80 -- PS2_DATA1: inout std_logic; 79 -- PS2_DATA1: inout std_logic;
81 PS2_CLK2: inout std_logic; 80 PS2_CLK2: inout std_logic;
82 PS2_DATA2: inout std_logic; 81 PS2_DATA2: inout std_logic;
83   82  
84 -- Diferencial Signals on 4 pin header (J7) 83 -- Diferencial Signals on 4 pin header (J7)
85 DIF1P: inout std_logic; 84 DIF1P: inout std_logic;
86 DIF1N: inout std_logic; 85 DIF1N: inout std_logic;
87 DIF2P: inout std_logic; 86 DIF2P: inout std_logic;
88 DIF2N: inout std_logic; 87 DIF2N: inout std_logic;
89 88
90   89  
91 -- I2C Signals (on connector J30) 90 -- I2C Signals (on connector J30)
92 I2C_SCL: inout std_logic; 91 I2C_SCL: inout std_logic;
93 I2C_SDA: inout std_logic; 92 I2C_SDA: inout std_logic;
94   93  
95 -- Diferencial Signals on SATA like connectors (not SATA capable, J28 and J29) 94 -- Diferencial Signals on SATA like connectors (not SATA capable, J28 and J29)
96 SD1AP: inout std_logic; 95 SD1AP: inout std_logic;
97 SD1AN: inout std_logic; 96 SD1AN: inout std_logic;
98 SD1BP: inout std_logic; 97 SD1BP: inout std_logic;
99 SD1BN: inout std_logic; 98 SD1BN: inout std_logic;
100 SD2AP: inout std_logic; 99 SD2AP: inout std_logic;
101 SD2AN: inout std_logic; 100 SD2AN: inout std_logic;
102 SD2BP: inout std_logic; 101 SD2BP: inout std_logic;
103 SD2BN: inout std_logic; 102 SD2BN: inout std_logic;
104   103  
105 -- Analog In Out 104 -- Analog In Out
106 ANA_OUTD: out std_logic; 105 ANA_OUTD: out std_logic;
107 ANA_REFD: out std_logic; 106 ANA_REFD: out std_logic;
108 ANA_IND: in std_logic; 107 ANA_IND: in std_logic;
109   108  
110 -- SPI Memory Interface 109 -- SPI Memory Interface
111 SPI_CS_n: inout std_logic; 110 SPI_CS_n: inout std_logic;
112 SPI_DO: inout std_logic; 111 SPI_DO: inout std_logic;
113 SPI_DI: inout std_logic; 112 SPI_DI: inout std_logic;
114 SPI_CLK: inout std_logic; 113 SPI_CLK: inout std_logic;
115 SPI_WP_n: inout std_logic 114 SPI_WP_n: inout std_logic
116 ); 115 );
117 end entity PulseGen; 116 end entity PulseGen;
118   117  
119   118  
120 architecture PulseGen_a of PulseGen is 119 architecture PulseGen_a of PulseGen is
121   120  
122 function to_bcd ( bin : std_logic_vector(7 downto 0) ) return std_logic_vector is 121 function to_bcd ( bin : std_logic_vector(7 downto 0) ) return std_logic_vector is
123 variable i : integer:=0; 122 variable i : integer:=0;
124 variable mybcd : std_logic_vector(11 downto 0) := (others => '0'); 123 variable mybcd : std_logic_vector(11 downto 0) := (others => '0');
125 variable bint : std_logic_vector(7 downto 0) := bin; 124 variable bint : std_logic_vector(7 downto 0) := bin;
126 begin 125 begin
127 for i in 0 to 7 loop -- repeating 8 times. 126 for i in 0 to 7 loop -- repeating 8 times.
128 mybcd(11 downto 1) := mybcd(10 downto 0); --shifting the bits. 127 mybcd(11 downto 1) := mybcd(10 downto 0); --shifting the bits.
129 mybcd(0) := bint(7); 128 mybcd(0) := bint(7);
130 bint(7 downto 1) := bint(6 downto 0); 129 bint(7 downto 1) := bint(6 downto 0);
131 bint(0) :='0'; 130 bint(0) :='0';
132   131  
133   132  
134 if(i < 7 and mybcd(3 downto 0) > "0100") then --add 3 if BCD digit is greater than 4. 133 if(i < 7 and mybcd(3 downto 0) > "0100") then --add 3 if BCD digit is greater than 4.
135 mybcd(3 downto 0) := std_logic_vector(unsigned(mybcd(3 downto 0)) + 3); 134 mybcd(3 downto 0) := std_logic_vector(unsigned(mybcd(3 downto 0)) + 3);
136 end if; 135 end if;
137   136  
138 if(i < 7 and mybcd(7 downto 4) > "0100") then --add 3 if BCD digit is greater than 4. 137 if(i < 7 and mybcd(7 downto 4) > "0100") then --add 3 if BCD digit is greater than 4.
139 mybcd(7 downto 4) := std_logic_vector(unsigned(mybcd(7 downto 4)) + 3); 138 mybcd(7 downto 4) := std_logic_vector(unsigned(mybcd(7 downto 4)) + 3);
140 end if; 139 end if;
141   140  
142 if(i < 7 and mybcd(11 downto 8) > "0100") then --add 3 if BCD digit is greater than 4. 141 if(i < 7 and mybcd(11 downto 8) > "0100") then --add 3 if BCD digit is greater than 4.
143 mybcd(11 downto 8) := std_logic_vector(unsigned(mybcd(11 downto 8)) + 3); 142 mybcd(11 downto 8) := std_logic_vector(unsigned(mybcd(11 downto 8)) + 3);
144 end if; 143 end if;
145 end loop; 144 end loop;
146 145
147 return mybcd; 146 return mybcd;
148 end to_bcd; 147 end to_bcd;
149   148  
150   149  
151 -- O1: ____|^^^^^^^|______ 150 -- O1: ____|^^^^^^^|______
152 -- O2: _________|^^|______ 151 -- O2: _________|^^|______
153 -- t1 t2 152 -- t1 t2
154 -- t1/t2 is from 0 to 2000 ns; repeating frequency is cca 1,6 kHz 153 -- t1/t2 is from 0 to 2000 ns; repeating frequency is cca 1,6 kHz
155   154  
156 signal T1: unsigned(15 downto 0) := X"000a"; -- Time t1 to Impuls at O2 155 signal T1: unsigned(15 downto 0) := X"000a"; -- Time t1 to Impuls at O2
157 signal T2: unsigned(15 downto 0) := X"0001"; -- Duration t2 of impuls at O2 156 signal T2: unsigned(15 downto 0) := X"0001"; -- Duration t2 of impuls at O2
158 signal CT0: unsigned(15 downto 0) := X"0000"; -- Timer 157 signal CT0: unsigned(15 downto 0) := X"0000"; -- Timer
159 signal O1: std_logic := '0'; -- Output 1 158 signal O1: std_logic := '0'; -- Output 1
160 signal O2: std_logic := '0'; -- Output 2 159 signal O2: std_logic := '0'; -- Output 2
-   160 signal CTburst: unsigned(15 downto 0) := X"0000"; -- Pulse counter
161 161
162 -- LED Demo Signals 162 -- LED Demo Signals
163 -- ---------------- 163 -- ----------------
164   164  
165 signal Counter: unsigned(31 downto 0) := X"00000000"; -- Main Counter (binary) 165 signal Counter: unsigned(31 downto 0) := X"00000000"; -- Main Counter (binary)
166 signal Bar: unsigned(7 downto 0) := X"00"; -- Counter for Bar output (binary) 166 signal Bar: unsigned(7 downto 0) := X"00"; -- Counter for Bar output (binary)
167   167  
168 signal FastBlink: std_logic; -- Signal mask for half intensity LED output (several kHz) 168 signal FastBlink: std_logic; -- Signal mask for half intensity LED output (several kHz)
169   169  
170 -- LED Display 170 -- LED Display
171 -- ----------- 171 -- -----------
172   172  
173 signal Number: std_logic_vector(32 downto 0); -- LED Display Input 173 signal Number: std_logic_vector(32 downto 0); -- LED Display Input
174 signal MuxCounter: unsigned(31 downto 0) := (others => '0'); -- LED Multiplex - Multiplex Clock Divider 174 signal MuxCounter: unsigned(31 downto 0) := (others => '0'); -- LED Multiplex - Multiplex Clock Divider
175 signal Enable: std_logic; 175 signal Enable: std_logic;
176 signal Digits: std_logic_vector(7 downto 0) := X"01"; -- LED Multiplex - Digit Counter - LED Digit Output 176 signal Digits: std_logic_vector(7 downto 0) := X"01"; -- LED Multiplex - Digit Counter - LED Digit Output
177 signal Segments: std_logic_vector(0 to 7); -- LED Segment Output 177 signal Segments: std_logic_vector(0 to 7); -- LED Segment Output
178 signal Code: std_logic_vector(3 downto 0); -- BCD to 7 Segment Decoder Output 178 signal Code: std_logic_vector(3 downto 0); -- BCD to 7 Segment Decoder Output
179   179  
180 -- PS/2 Port 180 -- PS/2 Port
181 -- --------- 181 -- ---------
182   182  
183 -- Interface Signals 183 -- Interface Signals
184 signal PS2_Code: std_logic_vector(7 downto 0); -- Key Scan Code 184 signal PS2_Code: std_logic_vector(7 downto 0); -- Key Scan Code
185 signal PS2_Attribs: std_logic_vector(7 downto 0); -- State of Shifts for Scan Code 185 signal PS2_Attribs: std_logic_vector(7 downto 0); -- State of Shifts for Scan Code
186 signal PS2_Valid: boolean; -- Valid Data (synchronous with Main Clock) 186 signal PS2_Valid: boolean; -- Valid Data (synchronous with Main Clock)
187 signal PS2_Shifts: std_logic_vector(9 downto 0); -- Immediate (life) State of Shifts for Scan Code 187 signal PS2_Shifts: std_logic_vector(9 downto 0); -- Immediate (life) State of Shifts for Scan Code
188   188  
189 -- Result 189 -- Result
190 signal PS2_Result: std_logic_vector(15 downto 0); -- Result (memory) 190 signal PS2_Result: std_logic_vector(15 downto 0); -- Result (memory)
191   191  
192 -- signal Key: std_logic_vector(7 downto 0); -- Cislo na klavese 192 -- signal Key: std_logic_vector(7 downto 0); -- Cislo na klavese
193 193
194 -- VGA Demo Signals 194 -- VGA Demo Signals
195 -- ---------------- 195 -- ----------------
196   196  
197 signal CLK: std_logic; -- Main Clock - global distribution network 197 signal CLK: std_logic; -- Main Clock - global distribution network
198 signal CLKVGAi: std_logic; -- DCM Clock Out (40MHz Pixel Clock) - internal connection from DCM to BUFG 198 signal CLKVGAi: std_logic; -- DCM Clock Out (40MHz Pixel Clock) - internal connection from DCM to BUFG
199 signal CLKVGA: std_logic; -- DCM Clock Out (40MHz Pixel Clock) - global distribution network 199 signal CLKVGA: std_logic; -- DCM Clock Out (40MHz Pixel Clock) - global distribution network
200 signal VGA_Blank: boolean; -- Blank 200 signal VGA_Blank: boolean; -- Blank
201 signal VGA_Hsync: boolean; -- Horisontal Synchronisation 201 signal VGA_Hsync: boolean; -- Horisontal Synchronisation
202 signal VGA_Vsync: boolean; -- Vertical Synchronisation 202 signal VGA_Vsync: boolean; -- Vertical Synchronisation
203   203  
204 signal VCounter: unsigned(9 downto 0) := "0000000000"; -- Vertical Counter 204 signal VCounter: unsigned(9 downto 0) := "0000000000"; -- Vertical Counter
205 signal HCounter: unsigned(10 downto 0) := "00000000000"; -- Horisontal Counter 205 signal HCounter: unsigned(10 downto 0) := "00000000000"; -- Horisontal Counter
206   206  
207 signal PinState: std_logic; -- For IB1 Port Test 207 signal PinState: std_logic; -- For IB1 Port Test
208 signal Red: std_logic_vector(1 downto 0); 208 signal Red: std_logic_vector(1 downto 0);
209 signal Green: std_logic_vector(1 downto 0); 209 signal Green: std_logic_vector(1 downto 0);
210 signal Blue: std_logic_vector(1 downto 0); 210 signal Blue: std_logic_vector(1 downto 0);
211   211  
212 -- ADDA 212 -- ADDA
213 signal ADDA_DataIn: std_logic_vector(7 downto 0); 213 signal ADDA_DataIn: std_logic_vector(7 downto 0);
214   214  
215 begin 215 begin
216   216  
217 -- Basic LED Blinking Test 217 -- Basic LED Blinking Test
218 -- ======================= 218 -- =======================
219   219  
220 -- LED Bar Counter 220 -- LED Bar Counter
221 process (CLK100MHz) 221 process (CLK100MHz)
222 begin 222 begin
223 if rising_edge(CLK100MHz) then 223 if rising_edge(CLK100MHz) then
224 if Counter < MAXCOUNT-1 then 224 if Counter < MAXCOUNT-1 then
225 Counter <= Counter + 1; 225 Counter <= Counter + 1;
226 else 226 else
227 Counter <= (others => '0'); 227 Counter <= (others => '0');
228 Bar <= Bar + 1; 228 Bar <= Bar + 1;
229 end if; 229 end if;
230 end if; 230 end if;
231 end process; 231 end process;
232   232  
233 LED <= std_logic_vector(Bar); -- LED Bar Connected to Counter 233 LED <= std_logic_vector(Bar); -- LED Bar Connected to Counter
234   234  
235 FastBlink <= Counter(13) and Counter(14) and Counter(15) and Counter(16); -- 1/16 intensity 235 FastBlink <= Counter(13) and Counter(14) and Counter(15) and Counter(16); -- 1/16 intensity
236   236  
237 -- LED Display (multiplexed) 237 -- LED Display (multiplexed)
238 -- ========================= 238 -- =========================
239   239  
240 -- Connect LED Display Output Ports (negative outputs) 240 -- Connect LED Display Output Ports (negative outputs)
241 LD_A_n <= not (Segments(0) and Enable); 241 LD_A_n <= not (Segments(0) and Enable);
242 LD_B_n <= not (Segments(1) and Enable); 242 LD_B_n <= not (Segments(1) and Enable);
243 LD_C_n <= not (Segments(2) and Enable); 243 LD_C_n <= not (Segments(2) and Enable);
244 LD_D_n <= not (Segments(3) and Enable); 244 LD_D_n <= not (Segments(3) and Enable);
245 LD_E_n <= not (Segments(4) and Enable); 245 LD_E_n <= not (Segments(4) and Enable);
246 LD_F_n <= not (Segments(5) and Enable); 246 LD_F_n <= not (Segments(5) and Enable);
247 LD_G_n <= not (Segments(6) and Enable); 247 LD_G_n <= not (Segments(6) and Enable);
248 LD_DP_n <= not (Segments(7) and Enable); 248 LD_DP_n <= not (Segments(7) and Enable);
249   249  
250 LD_0_n <= not Digits(0); 250 LD_0_n <= not Digits(0);
251 LD_1_n <= not Digits(1); 251 LD_1_n <= not Digits(1);
252 LD_2_n <= not Digits(2); 252 LD_2_n <= not Digits(2);
253 LD_3_n <= not Digits(3); 253 LD_3_n <= not Digits(3);
254 LD_4_n <= not Digits(4); 254 LD_4_n <= not Digits(4);
255 LD_5_n <= not Digits(5); 255 LD_5_n <= not Digits(5);
256 LD_6_n <= not Digits(6); 256 LD_6_n <= not Digits(6);
257 LD_7_n <= not Digits(7); 257 LD_7_n <= not Digits(7);
258   258  
259 -- Time Multiplex 259 -- Time Multiplex
260 process (CLK100MHz) 260 process (CLK100MHz)
261 begin 261 begin
262 if rising_edge(CLK100MHz) then 262 if rising_edge(CLK100MHz) then
263 if MuxCounter < MUXCOUNT-1 then 263 if MuxCounter < MUXCOUNT-1 then
264 MuxCounter <= MuxCounter + 1; 264 MuxCounter <= MuxCounter + 1;
265 else 265 else
266 MuxCounter <= (others => '0'); 266 MuxCounter <= (others => '0');
267 Digits(7 downto 0) <= Digits(6 downto 0) & Digits(7); -- Rotate Left 267 Digits(7 downto 0) <= Digits(6 downto 0) & Digits(7); -- Rotate Left
268 Enable <= '0'; 268 Enable <= '0';
269 end if; 269 end if;
270 if MuxCounter > (MUXCOUNT-4) then 270 if MuxCounter > (MUXCOUNT-4) then
271 Enable <= '1'; 271 Enable <= '1';
272 end if; 272 end if;
273 end if; 273 end if;
274 end process; 274 end process;
275   275  
276 -- BCD to 7 Segmet Decoder 276 -- BCD to 7 Segmet Decoder
277 -- -- A 277 -- -- A
278 -- | | F B 278 -- | | F B
279 -- -- G 279 -- -- G
280 -- | | E C 280 -- | | E C
281 -- -- D H 281 -- -- D H
282 -- ABCDEFGH 282 -- ABCDEFGH
283 Segments <= "11111100" when Code="0000" else -- Digit 0 283 Segments <= "11111100" when Code="0000" else -- Digit 0
284 "01100000" when Code="0001" else -- Digit 1 284 "01100000" when Code="0001" else -- Digit 1
285 "11011010" when Code="0010" else -- Digit 2 285 "11011010" when Code="0010" else -- Digit 2
286 "11110010" when Code="0011" else -- Digit 3 286 "11110010" when Code="0011" else -- Digit 3
287 "01100110" when Code="0100" else -- Digit 4 287 "01100110" when Code="0100" else -- Digit 4
288 "10110110" when Code="0101" else -- Digit 5 288 "10110110" when Code="0101" else -- Digit 5
289 "10111110" when Code="0110" else -- Digit 6 289 "10111110" when Code="0110" else -- Digit 6
290 "11100000" when Code="0111" else -- Digit 7 290 "11100000" when Code="0111" else -- Digit 7
291 "11111110" when Code="1000" else -- Digit 8 291 "11111110" when Code="1000" else -- Digit 8
292 "11110110" when Code="1001" else -- Digit 9 292 "11110110" when Code="1001" else -- Digit 9
293 "11101110" when Code="1010" else -- Digit A 293 "11101110" when Code="1010" else -- Digit A
294 "00111110" when Code="1011" else -- Digit b 294 "00111110" when Code="1011" else -- Digit b
295 "10011100" when Code="1100" else -- Digit C 295 "10011100" when Code="1100" else -- Digit C
296 "01111010" when Code="1101" else -- Digit d 296 "01111010" when Code="1101" else -- Digit d
297 "10011110" when Code="1110" else -- Digit E 297 "10011110" when Code="1110" else -- Digit E
298 "10001110" when Code="1111" else -- Digit F 298 "10001110" when Code="1111" else -- Digit F
299 "00000000"; 299 "00000000";
300   300  
301 Code <= Number( 3 downto 0) when Digits="00000001" else 301 Code <= Number( 3 downto 0) when Digits="00000001" else
302 Number( 7 downto 4) when Digits="00000010" else 302 Number( 7 downto 4) when Digits="00000010" else
303 Number(11 downto 8) when Digits="00000100" else 303 Number(11 downto 8) when Digits="00000100" else
304 Number(15 downto 12) when Digits="00001000" else 304 Number(15 downto 12) when Digits="00001000" else
305 Number(19 downto 16) when Digits="00010000" else 305 Number(19 downto 16) when Digits="00010000" else
306 Number(23 downto 20) when Digits="00100000" else 306 Number(23 downto 20) when Digits="00100000" else
307 Number(27 downto 24) when Digits="01000000" else 307 Number(27 downto 24) when Digits="01000000" else
308 Number(31 downto 28) when Digits="10000000" else 308 Number(31 downto 28) when Digits="10000000" else
309 "0000"; 309 "0000";
310   310  
311 -- Key <= "00000000" when PS2_Result(7 downto 0)=X"70" else -- Digit 0 311 -- Key <= "00000000" when PS2_Result(7 downto 0)=X"70" else -- Digit 0
312 -- "00000001" when PS2_Result(7 downto 0)=X"69" else -- Digit 1 312 -- "00000001" when PS2_Result(7 downto 0)=X"69" else -- Digit 1
313 -- "00000010" when PS2_Result(7 downto 0)=X"72" else -- Digit 2 313 -- "00000010" when PS2_Result(7 downto 0)=X"72" else -- Digit 2
314 -- "11111111"; 314 -- "11111111";
315 315
316 -- Number(31 downto 28) <= Key(3 downto 0); 316 -- Number(31 downto 28) <= Key(3 downto 0);
317   317  
318 -- Number( 7 downto 0) <= std_logic_vector(BAR); 318 -- Number( 7 downto 0) <= std_logic_vector(BAR);
319 -- Number(31 downto 24) <= DIPSW; 319 -- Number(31 downto 24) <= DIPSW;
320   320  
321 -- PS/2 Port 321 -- PS/2 Port
322 -- ========= 322 -- =========
323   323  
324 -- Instantiate PS/2 Keyboard Interface Handler 324 -- Instantiate PS/2 Keyboard Interface Handler
325 PS2_Keyboard: PS2 generic map( 325 PS2_Keyboard: PS2 generic map(
326 CLKFREQ => 100_000_000 326 CLKFREQ => 100_000_000
327 ) 327 )
328 port map( 328 port map(
329 -- Main Clock 329 -- Main Clock
330 Clk => CLK100MHz, 330 Clk => CLK100MHz,
331   331  
332 -- PS/2 Port 332 -- PS/2 Port
333 PS2_Clk => PS2_CLK2, 333 PS2_Clk => PS2_CLK2,
334 PS2_Data => PS2_DATA2, 334 PS2_Data => PS2_DATA2,
335   335  
336 -- Result - valid when PS2_Valid 336 -- Result - valid when PS2_Valid
337 PS2_Code => PS2_Code, 337 PS2_Code => PS2_Code,
338 PS2_Attribs => PS2_Attribs, 338 PS2_Attribs => PS2_Attribs,
339 PS2_Valid => PS2_Valid, 339 PS2_Valid => PS2_Valid,
340   340  
341 -- Immediate State of Shifts 341 -- Immediate State of Shifts
342 PS2_Shifts => PS2_Shifts 342 PS2_Shifts => PS2_Shifts
343 ); -- PS2 343 ); -- PS2
344   344  
345 process (CLK100MHz) 345 process (CLK100MHz)
346 begin 346 begin
347 if rising_edge(CLK100MHz) then 347 if rising_edge(CLK100MHz) then
348 if PS2_Valid and PS2_Attribs(7)='0' then 348 if PS2_Valid and PS2_Attribs(7)='0' then
349 -- Valid Scan Code with no Break Attribute 349 -- Valid Scan Code with no Break Attribute
350 PS2_Result( 7 downto 0) <= PS2_Code; 350 PS2_Result( 7 downto 0) <= PS2_Code;
351 PS2_Result(15 downto 8) <= PS2_Attribs; 351 PS2_Result(15 downto 8) <= PS2_Attribs;
352 end if; 352 end if;
353 353
354 if PS2_Valid and PS2_Attribs(7)='0' then 354 if PS2_Valid and PS2_Attribs(7)='0' then
355 if PS2_Code = X"74" and T1<200 then T1<=T1+1; end if; 355 if PS2_Code = X"74" and T1<2000 then T1<=T1+1; end if;
356 if PS2_Code = X"6b" and T1>0 then T1<=T1-1; end if; 356 if PS2_Code = X"6b" and T1>0 then T1<=T1-1; end if;
357 if PS2_Code = X"75" and T2<200 then T2<=T2+1; end if; 357 if PS2_Code = X"75" and T2<200 then T2<=T2+1; end if;
358 if PS2_Code = X"72" and T2>0 then T2<=T2-1; end if; 358 if PS2_Code = X"72" and T2>0 then T2<=T2-1; end if;
359 CT0<=X"0000"; 359 CT0<=X"0000";
360 O1<='0'; 360 O1<='0';
361 O2<='0'; 361 O2<='0';
-   362 CTburst<=X"0000";
362 end if; 363 end if;
363   364  
-   365 if PB(0)='1' then
-   366 T1<=X"0000";
-   367 T2<=X"0000";
-   368 end if;
-   369
-   370 if DIPSW(0)='1' then
364 if CT0=X"F000" then 371 if CT0>X"F000" then
-   372 CT0<=X"0000";
-   373 else
-   374 CT0<=CT0+1;
-   375 end if;
-   376 else
-   377 if CT0>X"0200" then
365 CT0<=X"0000"; 378 CT0<=X"0000";
366 else 379 else
367 CT0<=CT0+1; 380 CT0<=CT0+1;
368 end if; 381 end if;
-   382 end if;
-   383
-   384 if CTburst>2000 then
-   385 CTburst<=X"0000";
-   386 end if;
369 387  
-   388 if (CTburst<1000) or (DIPSW(1)='0') then
370 if CT0=X"0000" then 389 if CT0=X"0000" then
371 O1<='1'; 390 O1<='1';
372 end if; 391 end if;
373 392
374 if CT0=T1 then 393 if CT0=T1+X"0000" then
375 O2<='1'; 394 O2<='1';
376 end if; 395 end if;
-   396 end if;
377 397
378 if CT0=(T2+T1) then 398 if CT0=T2+T1+X"0000" then
379 O1<='0'; 399 O1<='0';
380 O2<='0'; 400 O2<='0';
-   401 CTburst<=CTburst+1;
381 end if; 402 end if;
382 403
383 end if; 404 end if;
384 405
385 end process; 406 end process;
386   407  
387 -- Display Result on LED 408 -- Display Result on LED
388 Number(3 downto 0) <= (others=>'0'); 409 Number(3 downto 0) <= (others=>'0');
389 Number(15 downto 4) <= to_bcd(std_logic_vector(T2)); 410 Number(15 downto 4) <= to_bcd(std_logic_vector(T2));
390 Number(19 downto 16) <= (others=>'0'); 411 Number(19 downto 16) <= (others=>'0');
391 Number(31 downto 20) <= to_bcd(std_logic_vector(T1)); 412 Number(31 downto 20) <= to_bcd(std_logic_vector(T1));
392 413
393   414  
394 -- Test Diferencial In/Outs 415 -- Test Diferencial In/Outs
395 -- ======================== 416 -- ========================
396   417  
397 -- Output Signal on SATA Connector 418 -- Output Signal on SATA Connector
398 SD1AP <= Bar(0); 419 SD1AP <= Bar(0);
399 SD1AN <= Bar(1); 420 SD1AN <= Bar(1);
400 SD1BP <= Bar(2); 421 SD1BP <= Bar(2);
401 SD1BN <= Bar(3); 422 SD1BN <= Bar(3);
402   423  
403 -- Input Here via SATA Cable 424 -- Input Here via SATA Cable
404 SD2AP <= 'Z'; 425 SD2AP <= 'Z';
405 SD2AN <= 'Z'; 426 SD2AN <= 'Z';
406 SD2BP <= 'Z'; 427 SD2BP <= 'Z';
407 SD2BN <= 'Z'; 428 SD2BN <= 'Z';
408   429  
409 -- Copy SATA Connector Input to 4 pin header (J7) - Connect these signals to B port input to visualize them 430 -- Copy SATA Connector Input to 4 pin header (J7) - Connect these signals to B port input to visualize them
410 -- !!!!!!!!!!!! Pulse Generator Outputs !!!!!!!!!!!!!!!!!!!!! 431 -- !!!!!!!!!!!! Pulse Generator Outputs !!!!!!!!!!!!!!!!!!!!!
411 DIF1P <= O1; 432 DIF1P <= O1;
412 B(0) <= O1; 433 B(0) <= O1;
413 DIF1N <= not O1; 434 DIF1N <= not O1;
414 B(1) <= not O1; 435 B(1) <= not O1;
415 DIF2P <= O2; 436 DIF2P <= O2;
416 B(2) <= O2; 437 B(2) <= O2;
417 DIF2N <= not O2; 438 DIF2N <= not O2;
418 B(3) <= not O2; 439 B(3) <= not O2;
419   440
-   441 VGA_R(0) <= O1;
-   442 VGA_R(1) <= O2;
-   443  
420 -- Unused Signals 444 -- Unused Signals
421 -- ============== 445 -- ==============
422   446  
423 -- I2C Signals (on connector J30) 447 -- I2C Signals (on connector J30)
424 I2C_SCL <= 'Z'; 448 I2C_SCL <= 'Z';
425 I2C_SDA <= 'Z'; 449 I2C_SDA <= 'Z';
426   450  
427 -- SPI Memory Interface 451 -- SPI Memory Interface
428 SPI_CS_n <= 'Z'; 452 SPI_CS_n <= 'Z';
429 SPI_DO <= 'Z'; 453 SPI_DO <= 'Z';
430 SPI_DI <= 'Z'; 454 SPI_DI <= 'Z';
431 SPI_CLK <= 'Z'; 455 SPI_CLK <= 'Z';
432 SPI_WP_n <= 'Z'; 456 SPI_WP_n <= 'Z';
433   457  
434 ANA_OUTD <= 'Z'; 458 ANA_OUTD <= 'Z';
435 ANA_REFD <= 'Z'; 459 ANA_REFD <= 'Z';
436   460  
437 VGA_R <= "ZZ"; 461 VGA_R <= "ZZ";
438 VGA_G <= "ZZ"; 462 VGA_G <= "ZZ";
439 VGA_B <= "ZZ"; 463 VGA_B <= "ZZ";
440 VGA_VS <= 'Z'; 464 VGA_VS <= 'Z';
441 VGA_HS <= 'Z'; 465 VGA_HS <= 'Z';
442   466  
443 end architecture PulseGen_a; 467 end architecture PulseGen_a;