Rev 3641 Rev 4962
1 library ieee; 1 library ieee;
2 use ieee.std_logic_1164.all; 2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all; 3 use ieee.numeric_std.all;
4   4  
5 library kakona; 5 library kakona;
6 use kakona.kakona_package.all; 6 use kakona.kakona_package.all;
7   7  
8 package information_data is 8 package information_data is
9   9  
10 -- Size: 10 -- Size:
11 constant C_INFO_BITWIDTH : natural := C_KAK_INFO_BITWIDTH; -- not to be changed 11 constant C_INFO_BITWIDTH : natural := C_KAK_INFO_BITWIDTH; -- not to be changed
12 constant C_INFO_NUMDATA : natural := 4; 12 constant C_INFO_NUMDATA : natural := 4;
13   13  
14 -- Contents: 14 -- Contents:
15   15  
16 constant C_INFO_DATA : std_logic_vector( C_INFO_BITWIDTH*C_INFO_NUMDATA - 1 downto 0 ) := C_GUID; 16 constant C_INFO_DATA : std_logic_vector( C_INFO_BITWIDTH*C_INFO_NUMDATA - 1 downto 0 ) := C_GUID;
17   17  
18 end package; 18 end package;