Rev 3206 Rev 3208
1 ---------------------------------------------------------------------------------- 1 ----------------------------------------------------------------------------------
2 -- Company: www.mlab.cz 2 -- Company: www.mlab.cz
3 -- Based on code written by MIHO. 3 -- Based on code written by MIHO.
4 -- 4 --
5 -- HW Design Name: S3AN01A 5 -- HW Design Name: S3AN01A
6 -- Project Name: Atomic Counter 6 -- Project Name: Atomic Counter
7 -- Target Devices: XC3S50AN-4 7 -- Target Devices: XC3S50AN-4
8 -- Tool versions: ISE 13.3 8 -- Tool versions: ISE 13.3
9 -- Description: Counter up to 640 MHz synchonised by GPS. 9 -- Description: Counter up to 640 MHz synchonised by GPS.
10 -- Output frequency is displayed on the 7seg. LED display. 10 -- Output frequency is displayed on the 7seg. LED display.
11 -- You can choice half or full frequency by DIPSW7. 11 -- You can choice half or full frequency by DIPSW7.
12 -- 12 --
13 -- Dependencies: TTLPECL01A, GPS01A 13 -- Dependencies: TTLPECL01A, GPS01A
14 -- 14 --
15 -- Version: $Id: gtime.vhd 3177 2013-07-17 23:48:47Z kakl $ 15 -- Version: $Id: gtime.vhd 3177 2013-07-17 23:48:47Z kakl $
16 -- 16 --
17 ---------------------------------------------------------------------------------- 17 ----------------------------------------------------------------------------------
18   18  
19 library IEEE; 19 library IEEE;
20 use IEEE.STD_LOGIC_1164.ALL; 20 use IEEE.STD_LOGIC_1164.ALL;
21 use IEEE.numeric_std.ALL; 21 use IEEE.numeric_std.ALL;
22   22  
23 library UNISIM; 23 library UNISIM;
24 use UNISIM.vcomponents.all; 24 use UNISIM.vcomponents.all;
25   25  
26 entity AtomicCounter is 26 entity AtomicCounter is
27 generic ( 27 generic (
28 -- Top Value for 100MHz Clock Counter 28 -- Top Value for 100MHz Clock Counter
29 MAXCOUNT: integer := 10_000; -- Maximum for the first counter 29 MAXCOUNT: integer := 10_000; -- Maximum for the first counter
30 MUXCOUNT: integer := 100_000 -- LED Display Multiplex Clock Divider 30 MUXCOUNT: integer := 100_000 -- LED Display Multiplex Clock Divider
31 ); 31 );
32 port ( 32 port (
33 -- Clock on PCB 33 -- Clock on PCB
34 CLK100MHz: in std_logic; 34 CLK100MHz: in std_logic;
35   35  
36 -- Mode Signals (usualy not used) 36 -- Mode Signals (usualy not used)
37 M: in std_logic_vector(2 downto 0); 37 M: in std_logic_vector(2 downto 0);
38 VS: in std_logic_vector(2 downto 0); 38 VS: in std_logic_vector(2 downto 0);
39   39  
40 -- Dipswitch Inputs 40 -- Dipswitch Inputs
41 DIPSW: in std_logic_vector(7 downto 0); 41 DIPSW: in std_logic_vector(7 downto 0);
42   42  
43 -- Push Buttons 43 -- Push Buttons
44 PB: in std_logic_vector(3 downto 0); 44 PB: in std_logic_vector(3 downto 0);
45   45  
46 -- LED Bar Outputs 46 -- LED Bar Outputs
47 LED: out std_logic_vector(7 downto 0); 47 LED: out std_logic_vector(7 downto 0);
48   48  
49 -- LED Display (8 digit with 7 segments and ddecimal point) 49 -- LED Display (8 digit with 7 segments and ddecimal point)
50 LD_A_n: out std_logic; 50 LD_A_n: out std_logic;
51 LD_B_n: out std_logic; 51 LD_B_n: out std_logic;
52 LD_C_n: out std_logic; 52 LD_C_n: out std_logic;
53 LD_D_n: out std_logic; 53 LD_D_n: out std_logic;
54 LD_E_n: out std_logic; 54 LD_E_n: out std_logic;
55 LD_F_n: out std_logic; 55 LD_F_n: out std_logic;
56 LD_G_n: out std_logic; 56 LD_G_n: out std_logic;
57 LD_DP_n: out std_logic; 57 LD_DP_n: out std_logic;
58 LD_0_n: out std_logic; 58 LD_0_n: out std_logic;
59 LD_1_n: out std_logic; 59 LD_1_n: out std_logic;
60 LD_2_n: out std_logic; 60 LD_2_n: out std_logic;
61 LD_3_n: out std_logic; 61 LD_3_n: out std_logic;
62 LD_4_n: out std_logic; 62 LD_4_n: out std_logic;
63 LD_5_n: out std_logic; 63 LD_5_n: out std_logic;
64 LD_6_n: out std_logic; 64 LD_6_n: out std_logic;
65 LD_7_n: out std_logic; 65 LD_7_n: out std_logic;
66   66  
67 -- VGA Video Out Port 67 -- VGA Video Out Port
68 VGA_R: out std_logic_vector(1 downto 0); 68 VGA_R: out std_logic_vector(1 downto 0);
69 VGA_G: out std_logic_vector(1 downto 0); 69 VGA_G: out std_logic_vector(1 downto 0);
70 VGA_B: out std_logic_vector(1 downto 0); 70 VGA_B: out std_logic_vector(1 downto 0);
71 VGA_VS: out std_logic; 71 VGA_VS: out std_logic;
72 VGA_HS: out std_logic; 72 VGA_HS: out std_logic;
73   73  
74 -- Bank 1 Pins - Inputs for this Test 74 -- Bank 1 Pins - Inputs for this Test
75 B: inout std_logic_vector(24 downto 0); 75 B: inout std_logic_vector(24 downto 0);
76 76
77 -- PS/2 Bidirectional Port (open collector, J31 and J32) 77 -- PS/2 Bidirectional Port (open collector, J31 and J32)
78 PS2_CLK1: inout std_logic; 78 PS2_CLK1: inout std_logic;
79 PS2_DATA1: inout std_logic; 79 PS2_DATA1: inout std_logic;
80 PS2_CLK2: inout std_logic; 80 PS2_CLK2: inout std_logic;
81 PS2_DATA2: inout std_logic; 81 PS2_DATA2: inout std_logic;
82   82  
83 -- Diferencial Signals on 4 pin header (J7) 83 -- Diferencial Signals on 4 pin header (J7)
84 DIF1P: inout std_logic; 84 DIF1P: inout std_logic;
85 DIF1N: inout std_logic; 85 DIF1N: inout std_logic;
86 DIF2P: inout std_logic; 86 DIF2P: inout std_logic;
87 DIF2N: inout std_logic; 87 DIF2N: inout std_logic;
88 88
89   89  
90 -- I2C Signals (on connector J30) 90 -- I2C Signals (on connector J30)
91 I2C_SCL: inout std_logic; 91 I2C_SCL: inout std_logic;
92 I2C_SDA: inout std_logic; 92 I2C_SDA: inout std_logic;
93   93  
94 -- Diferencial Signals on SATA like connectors (not SATA capable, J28 and J29) 94 -- Diferencial Signals on SATA like connectors (not SATA capable, J28 and J29)
95 SD1AP: inout std_logic; 95 SD1AP: inout std_logic;
96 SD1AN: inout std_logic; 96 SD1AN: inout std_logic;
97 SD1BP: inout std_logic; 97 SD1BP: inout std_logic;
98 SD1BN: inout std_logic; 98 SD1BN: inout std_logic;
99 SD2AP: inout std_logic; 99 SD2AP: inout std_logic;
100 SD2AN: inout std_logic; 100 SD2AN: inout std_logic;
101 SD2BP: inout std_logic; 101 SD2BP: inout std_logic;
102 SD2BN: inout std_logic; 102 SD2BN: inout std_logic;
103   103  
104 -- Analog In Out 104 -- Analog In Out
105 ANA_OUTD: out std_logic; 105 ANA_OUTD: out std_logic;
106 ANA_REFD: out std_logic; 106 ANA_REFD: out std_logic;
107 ANA_IND: in std_logic; 107 ANA_IND: in std_logic;
108   108  
109 -- SPI Memory Interface 109 -- SPI Memory Interface
110 SPI_CS_n: inout std_logic; 110 SPI_CS_n: inout std_logic;
111 SPI_DO: inout std_logic; 111 SPI_DO: inout std_logic;
112 SPI_DI: inout std_logic; 112 SPI_DI: inout std_logic;
113 SPI_CLK: inout std_logic; 113 SPI_CLK: inout std_logic;
114 SPI_WP_n: inout std_logic 114 SPI_WP_n: inout std_logic
115 ); 115 );
116 end entity AtomicCounter; 116 end entity AtomicCounter;
117   117  
118   118  
119 architecture AtomicCounter_a of AtomicCounter is 119 architecture AtomicCounter_a of AtomicCounter is
120   120  
121 function to_bcd ( bin : std_logic_vector(15 downto 0) ) return std_logic_vector is 121 function to_bcd ( bin : std_logic_vector(31 downto 0) ) return std_logic_vector is
122 variable i : integer:=0; 122 variable i : integer:=0;
123 variable mybcd : std_logic_vector(19 downto 0) := (others => '0'); 123 variable mybcd : std_logic_vector(35 downto 0) := (others => '0');
124 variable bint : std_logic_vector(15 downto 0) := bin; 124 variable bint : std_logic_vector(31 downto 0) := bin;
125 begin 125 begin
126 for i in 0 to 15 loop -- repeating 16 times. 126 for i in 0 to 31 loop -- repeating 16 times.
127 mybcd(19 downto 1) := mybcd(18 downto 0); --shifting the bits. 127 mybcd(35 downto 1) := mybcd(34 downto 0); --shifting the bits.
128 mybcd(0) := bint(15); 128 mybcd(0) := bint(31);
129 bint(15 downto 1) := bint(14 downto 0); 129 bint(31 downto 1) := bint(30 downto 0);
130 bint(0) :='0'; 130 bint(0) :='0';
131   131  
132   132  
133 if(i < 15 and mybcd(3 downto 0) > "0100") then --add 3 if BCD digit is greater than 4. 133 if(i < 31 and mybcd(3 downto 0) > "0100") then --add 3 if BCD digit is greater than 4.
134 mybcd(3 downto 0) := std_logic_vector(unsigned(mybcd(3 downto 0)) + 3); 134 mybcd(3 downto 0) := std_logic_vector(unsigned(mybcd(3 downto 0)) + 3);
135 end if; 135 end if;
136   136  
137 if(i < 15 and mybcd(7 downto 4) > "0100") then --add 3 if BCD digit is greater than 4. 137 if(i < 31 and mybcd(7 downto 4) > "0100") then --add 3 if BCD digit is greater than 4.
138 mybcd(7 downto 4) := std_logic_vector(unsigned(mybcd(7 downto 4)) + 3); 138 mybcd(7 downto 4) := std_logic_vector(unsigned(mybcd(7 downto 4)) + 3);
139 end if; 139 end if;
140   140  
141 if(i < 15 and mybcd(11 downto 8) > "0100") then --add 3 if BCD digit is greater than 4. 141 if(i < 31 and mybcd(11 downto 8) > "0100") then --add 3 if BCD digit is greater than 4.
142 mybcd(11 downto 8) := std_logic_vector(unsigned(mybcd(11 downto 8)) + 3); 142 mybcd(11 downto 8) := std_logic_vector(unsigned(mybcd(11 downto 8)) + 3);
143 end if; 143 end if;
144   144  
145 if(i < 15 and mybcd(15 downto 12) > "0100") then --add 3 if BCD digit is greater than 4. 145 if(i < 31 and mybcd(15 downto 12) > "0100") then --add 3 if BCD digit is greater than 4.
146 mybcd(15 downto 12) := std_logic_vector(unsigned(mybcd(15 downto 12)) + 3); 146 mybcd(15 downto 12) := std_logic_vector(unsigned(mybcd(15 downto 12)) + 3);
147 end if; 147 end if;
148   148  
149 if(i < 15 and mybcd(19 downto 16) > "0100") then --add 3 if BCD digit is greater than 4. 149 if(i < 31 and mybcd(19 downto 16) > "0100") then --add 3 if BCD digit is greater than 4.
150 mybcd(19 downto 16) := std_logic_vector(unsigned(mybcd(19 downto 16)) + 3); 150 mybcd(19 downto 16) := std_logic_vector(unsigned(mybcd(19 downto 16)) + 3);
151 end if; 151 end if;
152   152  
-   153 if(i < 31 and mybcd(23 downto 20) > "0100") then --add 3 if BCD digit is greater than 4.
-   154 mybcd(23 downto 20) := std_logic_vector(unsigned(mybcd(23 downto 20)) + 3);
-   155 end if;
-   156  
-   157 if(i < 31 and mybcd(27 downto 24) > "0100") then --add 3 if BCD digit is greater than 4.
-   158 mybcd(27 downto 24) := std_logic_vector(unsigned(mybcd(27 downto 24)) + 3);
-   159 end if;
-   160  
-   161 if(i < 31 and mybcd(31 downto 28) > "0100") then --add 3 if BCD digit is greater than 4.
-   162 mybcd(31 downto 28) := std_logic_vector(unsigned(mybcd(31 downto 28)) + 3);
-   163 end if;
-   164  
-   165 if(i < 31 and mybcd(35 downto 32) > "0100") then --add 3 if BCD digit is greater than 4.
-   166 mybcd(35 downto 32) := std_logic_vector(unsigned(mybcd(35 downto 32)) + 3);
-   167 end if;
-   168  
153 end loop; 169 end loop;
154 170
155 return mybcd; 171 return mybcd;
156 end to_bcd; 172 end to_bcd;
157   173  
158   174  
159 -- Counters 175 -- Counters
160 -- ---------------- 176 -- ----------------
161   177  
162 signal Counter: unsigned(13 downto 0) := "00000000000000"; -- Main Counter 1 Hz, max. 9.999 kHz (binary) 178 signal Counter: unsigned(31 downto 0) := X"00000000"; -- Main Counter 1 Hz, max. 9.999 kHz (binary)
163 signal CounterMaxcount: unsigned(15 downto 0) := "0000000000000000"; -- Main Counter 10 kHz, max. 655.35 MHz (binary) -  
164   179  
165   180  
166 -- LED Display 181 -- LED Display
167 -- ----------- 182 -- -----------
168   183  
169 signal NumberPom: std_logic_vector(35 downto 0) := X"000000000"; -- Variable for bin/BCD conversion -  
170 signal Number: std_logic_vector(35 downto 0) := X"000000000"; -- LED Display Input 184 signal Number: std_logic_vector(35 downto 0) := X"000000000"; -- LED Display Input
171 signal Freq: std_logic_vector(31 downto 0) := X"00000000"; -- Measured Frequency 185 signal Freq: std_logic_vector(31 downto 0) := X"00000000"; -- Measured Frequency
172 signal MuxCounter: unsigned(31 downto 0) := (others => '0'); -- LED Multiplex - Multiplex Clock Divider 186 signal MuxCounter: unsigned(31 downto 0) := (others => '0'); -- LED Multiplex - Multiplex Clock Divider
173 signal Enable: std_logic; 187 signal Enable: std_logic;
174 signal Digits: std_logic_vector(7 downto 0) := X"01"; -- LED Multiplex - Digit Counter - LED Digit Output 188 signal Digits: std_logic_vector(7 downto 0) := X"01"; -- LED Multiplex - Digit Counter - LED Digit Output
175 signal Segments: std_logic_vector(0 to 7); -- LED Segment Output 189 signal Segments: std_logic_vector(0 to 7); -- LED Segment Output
176 signal Code: std_logic_vector(3 downto 0); -- BCD to 7 Segment Decoder Output 190 signal Code: std_logic_vector(3 downto 0); -- BCD to 7 Segment Decoder Output
177   191  
178 192
179 signal LO_CLOCK: std_logic; -- Frequency divided by 2 193 signal LO_CLOCK: std_logic; -- Frequency divided by 2
180 signal EXT_CLOCK: std_logic; -- Input Frequency 194 signal EXT_CLOCK: std_logic; -- Input Frequency
181   195  
182 signal Decko: std_logic; -- D flip-flop 196 signal Decko: std_logic; -- D flip-flop
183 signal State: unsigned(2 downto 0) := (others => '0'); -- Inner states of automata 197 signal State: unsigned(2 downto 0) := (others => '0'); -- Inner states of automata
184 198
185 begin 199 begin
186   200  
187 -- Input divider by 2 201 -- Input divider by 2
188 process (EXT_CLOCK) 202 process (EXT_CLOCK)
189 begin 203 begin
190 if rising_edge(EXT_CLOCK) then 204 if rising_edge(EXT_CLOCK) then
191 LO_CLOCK <= not LO_CLOCK; 205 LO_CLOCK <= not LO_CLOCK;
192 end if; 206 end if;
193 end process; 207 end process;
194   208  
195   209  
196 -- Counter 210 -- Counter
197 process (LO_CLOCK) 211 process (LO_CLOCK)
198 begin 212 begin
199 213
200 if rising_edge(LO_CLOCK) then 214 if rising_edge(LO_CLOCK) then
201 215
202 if (State = 3) or (State = 0) then 216 if (State = 3) or (State = 0) then
203 if Counter < MAXCOUNT-1 then 217 if DIPSW(7) = '0' then -- Half/Full frequency
204 Counter <= Counter + 1; 218 Counter <= Counter + 1;
205 else 219 else
206 Counter <= (others => '0'); 220 Counter <= Counter + 2;
207 CounterMaxcount <= CounterMaxcount + 1; -  
208 end if; 221 end if;
209 end if; 222 end if;
210 if (State = 1) then 223 if (State = 1) then
211 Freq(15 downto 0) <= std_logic_vector("00"&Counter); 224 Freq(31 downto 0) <= std_logic_vector(Counter);
212 Freq(31 downto 16) <= std_logic_vector(CounterMaxcount); -  
213 end if; 225 end if;
214 if (State = 2) then 226 if (State = 2) then
215 CounterMaxcount <= (others => '0'); -  
216 Counter <= (others => '0'); 227 Counter <= (others => '0');
217 end if; 228 end if;
218 end if; 229 end if;
219   230  
220 end process; 231 end process;
221   232  
222   233  
223 -- Sampling 1PPS signal 234 -- Sampling 1PPS signal
224 process (LO_CLOCK) 235 process (LO_CLOCK)
225 begin 236 begin
226 if rising_edge(LO_CLOCK) then 237 if rising_edge(LO_CLOCK) then
227 Decko <= B(22); 238 Decko <= B(22);
228 end if; 239 end if;
229 end process; 240 end process;
230   241  
231 -- Automata for controlling the Counter 242 -- Automata for controlling the Counter
232 process (LO_CLOCK) 243 process (LO_CLOCK)
233 begin 244 begin
234 if rising_edge(LO_CLOCK) then 245 if rising_edge(LO_CLOCK) then
235 if (Decko = '1') then 246 if (Decko = '1') then
236 if (State < 3) then 247 if (State < 3) then
237 State <= State + 1; 248 State <= State + 1;
238 end if; 249 end if;
239 else 250 else
240 State <= (others => '0'); 251 State <= (others => '0');
241 end if; 252 end if;
242 end if; 253 end if;
243 end process; 254 end process;
244   255  
245 -- Coding to BCD for LED Display 256 -- Coding to BCD for LED Display
246   257  
247 process (Decko) 258 process (Decko)
248 begin 259 begin
249 if falling_edge(Decko) then 260 if falling_edge(Decko) then
250 if DIPSW(7) = '0' then -  
251 NumberPom(15 downto 0) <= to_bcd(Freq(15 downto 0))(15 downto 0); -- Half frequency -  
252 NumberPom(35 downto 16) <= to_bcd(Freq(31 downto 16))(19 downto 0); 261 Number(35 downto 0) <= to_bcd(Freq(31 downto 0));
253 else -  
254 NumberPom(15 downto 0) <= to_bcd(Freq(14 downto 1)&"0")(15 downto 0); -- Full frequency -  
255 NumberPom(35 downto 16) <= to_bcd(Freq(30 downto 15))(19 downto 0); -  
256 end if; -  
257 end if; 262 end if;
258 end process; 263 end process;
259   264  
260 Number(35 downto 0) <= NumberPom(35 downto 0); 265 -- Number(35 downto 0) <= NumberPom(35 downto 0);
261 266
262 LED(7) <= Decko; -- Disply 1PPS pulse on LEDbar 267 LED(7) <= Decko; -- Disply 1PPS pulse on LEDbar
263 LED(6 downto 4) <= (others => '0'); 268 LED(6 downto 4) <= (others => '0');
264 LED(3 downto 0) <= Number(35 downto 32); -- Disply 100-th of MHz on LEDbar 269 LED(3 downto 0) <= Number(35 downto 32); -- Disply 100-th of MHz on LEDbar
265   270  
266 -- LED Display (multiplexed) 271 -- LED Display (multiplexed)
267 -- ========================= 272 -- =========================
268   273  
269 -- Connect LED Display Output Ports (negative outputs) 274 -- Connect LED Display Output Ports (negative outputs)
270 LD_A_n <= not (Segments(0) and Enable); 275 LD_A_n <= not (Segments(0) and Enable);
271 LD_B_n <= not (Segments(1) and Enable); 276 LD_B_n <= not (Segments(1) and Enable);
272 LD_C_n <= not (Segments(2) and Enable); 277 LD_C_n <= not (Segments(2) and Enable);
273 LD_D_n <= not (Segments(3) and Enable); 278 LD_D_n <= not (Segments(3) and Enable);
274 LD_E_n <= not (Segments(4) and Enable); 279 LD_E_n <= not (Segments(4) and Enable);
275 LD_F_n <= not (Segments(5) and Enable); 280 LD_F_n <= not (Segments(5) and Enable);
276 LD_G_n <= not (Segments(6) and Enable); 281 LD_G_n <= not (Segments(6) and Enable);
277 LD_DP_n <= not (Segments(7) and Enable); 282 LD_DP_n <= not (Segments(7) and Enable);
278   283  
279 LD_0_n <= not Digits(0); 284 LD_0_n <= not Digits(0);
280 LD_1_n <= not Digits(1); 285 LD_1_n <= not Digits(1);
281 LD_2_n <= not Digits(2); 286 LD_2_n <= not Digits(2);
282 LD_3_n <= not Digits(3); 287 LD_3_n <= not Digits(3);
283 LD_4_n <= not Digits(4); 288 LD_4_n <= not Digits(4);
284 LD_5_n <= not Digits(5); 289 LD_5_n <= not Digits(5);
285 LD_6_n <= not Digits(6); 290 LD_6_n <= not Digits(6);
286 LD_7_n <= not Digits(7); 291 LD_7_n <= not Digits(7);
287   292  
288 -- Time Multiplex 293 -- Time Multiplex
289 process (CLK100MHz) 294 process (CLK100MHz)
290 begin 295 begin
291 if rising_edge(CLK100MHz) then 296 if rising_edge(CLK100MHz) then
292 if MuxCounter < MUXCOUNT-1 then 297 if MuxCounter < MUXCOUNT-1 then
293 MuxCounter <= MuxCounter + 1; 298 MuxCounter <= MuxCounter + 1;
294 else 299 else
295 MuxCounter <= (others => '0'); 300 MuxCounter <= (others => '0');
296 Digits(7 downto 0) <= Digits(6 downto 0) & Digits(7); -- Rotate Left 301 Digits(7 downto 0) <= Digits(6 downto 0) & Digits(7); -- Rotate Left
297 Enable <= '0'; 302 Enable <= '0';
298 end if; 303 end if;
299 if MuxCounter > (MUXCOUNT-4) then 304 if MuxCounter > (MUXCOUNT-4) then
300 Enable <= '1'; 305 Enable <= '1';
301 end if; 306 end if;
302 end if; 307 end if;
303 end process; 308 end process;
304   309  
305 -- HEX to 7 Segmet Decoder 310 -- HEX to 7 Segmet Decoder
306 -- -- A 311 -- -- A
307 -- | | F B 312 -- | | F B
308 -- -- G 313 -- -- G
309 -- | | E C 314 -- | | E C
310 -- -- D H 315 -- -- D H
311 -- ABCDEFGH 316 -- ABCDEFGH
312 Segments <= "11111100" when Code="0000" else -- Digit 0 317 Segments <= "11111100" when Code="0000" else -- Digit 0
313 "01100000" when Code="0001" else -- Digit 1 318 "01100000" when Code="0001" else -- Digit 1
314 "11011010" when Code="0010" else -- Digit 2 319 "11011010" when Code="0010" else -- Digit 2
315 "11110010" when Code="0011" else -- Digit 3 320 "11110010" when Code="0011" else -- Digit 3
316 "01100110" when Code="0100" else -- Digit 4 321 "01100110" when Code="0100" else -- Digit 4
317 "10110110" when Code="0101" else -- Digit 5 322 "10110110" when Code="0101" else -- Digit 5
318 "10111110" when Code="0110" else -- Digit 6 323 "10111110" when Code="0110" else -- Digit 6
319 "11100000" when Code="0111" else -- Digit 7 324 "11100000" when Code="0111" else -- Digit 7
320 "11111110" when Code="1000" else -- Digit 8 325 "11111110" when Code="1000" else -- Digit 8
321 "11110110" when Code="1001" else -- Digit 9 326 "11110110" when Code="1001" else -- Digit 9
322 "11101110" when Code="1010" else -- Digit A 327 "11101110" when Code="1010" else -- Digit A
323 "00111110" when Code="1011" else -- Digit b 328 "00111110" when Code="1011" else -- Digit b
324 "10011100" when Code="1100" else -- Digit C 329 "10011100" when Code="1100" else -- Digit C
325 "01111010" when Code="1101" else -- Digit d 330 "01111010" when Code="1101" else -- Digit d
326 "10011110" when Code="1110" else -- Digit E 331 "10011110" when Code="1110" else -- Digit E
327 "10001110" when Code="1111" else -- Digit F 332 "10001110" when Code="1111" else -- Digit F
328 "00000000"; 333 "00000000";
329   334  
330 Code <= Number( 3 downto 0) when Digits="00000001" else 335 Code <= Number( 3 downto 0) when Digits="00000001" else
331 Number( 7 downto 4) when Digits="00000010" else 336 Number( 7 downto 4) when Digits="00000010" else
332 Number(11 downto 8) when Digits="00000100" else 337 Number(11 downto 8) when Digits="00000100" else
333 Number(15 downto 12) when Digits="00001000" else 338 Number(15 downto 12) when Digits="00001000" else
334 Number(19 downto 16) when Digits="00010000" else 339 Number(19 downto 16) when Digits="00010000" else
335 Number(23 downto 20) when Digits="00100000" else 340 Number(23 downto 20) when Digits="00100000" else
336 Number(27 downto 24) when Digits="01000000" else 341 Number(27 downto 24) when Digits="01000000" else
337 Number(31 downto 28) when Digits="10000000" else 342 Number(31 downto 28) when Digits="10000000" else
338 "0000"; 343 "0000";
339   344  
340   345  
341   346  
342 -- Diferencial In/Outs 347 -- Diferencial In/Outs
343 -- ======================== 348 -- ========================
344 DIFbuffer1 : IBUFGDS 349 DIFbuffer1 : IBUFGDS
345 generic map ( 350 generic map (
346 DIFF_TERM => FALSE, -- Differential Termination 351 DIFF_TERM => FALSE, -- Differential Termination
347 IBUF_DELAY_VALUE => "0", -- Specify the amount of added input delay for buffer, 352 IBUF_DELAY_VALUE => "0", -- Specify the amount of added input delay for buffer,
348 -- "0"-"16" 353 -- "0"-"16"
349 IOSTANDARD => "LVPECL_33") 354 IOSTANDARD => "LVPECL_33")
350 port map ( 355 port map (
351 I => SD1AP, -- Diff_p buffer input (connect directly to top-level port) 356 I => SD1AP, -- Diff_p buffer input (connect directly to top-level port)
352 IB => SD1AN, -- Diff_n buffer input (connect directly to top-level port) 357 IB => SD1AN, -- Diff_n buffer input (connect directly to top-level port)
353 O => EXT_CLOCK -- Buffer output - Counter INPUT 358 O => EXT_CLOCK -- Buffer output - Counter INPUT
354 ); 359 );
355   360  
356 OBUFDS_inst : OBUFDS 361 OBUFDS_inst : OBUFDS
357 generic map ( 362 generic map (
358 IOSTANDARD => "LVDS_33") 363 IOSTANDARD => "LVDS_33")
359 port map ( 364 port map (
360 O => SD2AP, -- Diff_p output (connect directly to top-level port) 365 O => SD2AP, -- Diff_p output (connect directly to top-level port)
361 OB => SD2AN, -- Diff_n output (connect directly to top-level port) 366 OB => SD2AN, -- Diff_n output (connect directly to top-level port)
362 I => EXT_CLOCK -- Buffer input are connected directly to IBUFGDS 367 I => EXT_CLOCK -- Buffer input are connected directly to IBUFGDS
363 ); 368 );
364 369
365 -- Output Signal on SATA Connector 370 -- Output Signal on SATA Connector
366 -- SD1AP <= 'Z'; -- Counter INPUT 371 -- SD1AP <= 'Z'; -- Counter INPUT
367 -- SD1AN <= 'Z'; 372 -- SD1AN <= 'Z';
368 SD1BP <= 'Z'; 373 SD1BP <= 'Z';
369 SD1BN <= 'Z'; 374 SD1BN <= 'Z';
370   375  
371 -- Input Here via SATA Cable 376 -- Input Here via SATA Cable
372 -- SD2AP <= 'Z'; -- Counter OUTPUT 377 -- SD2AP <= 'Z'; -- Counter OUTPUT
373 -- SD2AN <= 'Z'; 378 -- SD2AN <= 'Z';
374 SD2BP <= 'Z'; 379 SD2BP <= 'Z';
375 SD2BN <= 'Z'; 380 SD2BN <= 'Z';
376   381  
377   382  
378 -- Unused Signals 383 -- Unused Signals
379 -- ============== 384 -- ==============
380   385  
381 -- Differential inputs onn header 386 -- Differential inputs onn header
382 DIF1N <= 'Z'; 387 DIF1N <= 'Z';
383 DIF1P <= 'Z'; 388 DIF1P <= 'Z';
384 DIF2N <= 'Z'; 389 DIF2N <= 'Z';
385 DIF2P <= 'Z'; 390 DIF2P <= 'Z';
386   391  
387 -- I2C Signals (on connector J30) 392 -- I2C Signals (on connector J30)
388 I2C_SCL <= 'Z'; 393 I2C_SCL <= 'Z';
389 I2C_SDA <= 'Z'; 394 I2C_SDA <= 'Z';
390   395  
391 -- SPI Memory Interface 396 -- SPI Memory Interface
392 SPI_CS_n <= 'Z'; 397 SPI_CS_n <= 'Z';
393 SPI_DO <= 'Z'; 398 SPI_DO <= 'Z';
394 SPI_DI <= 'Z'; 399 SPI_DI <= 'Z';
395 SPI_CLK <= 'Z'; 400 SPI_CLK <= 'Z';
396 SPI_WP_n <= 'Z'; 401 SPI_WP_n <= 'Z';
397   402  
398 -- A/D 403 -- A/D
399 ANA_OUTD <= 'Z'; 404 ANA_OUTD <= 'Z';
400 ANA_REFD <= 'Z'; 405 ANA_REFD <= 'Z';
401   406  
402 -- VGA 407 -- VGA
403 VGA_R <= "ZZ"; 408 VGA_R <= "ZZ";
404 VGA_G <= "ZZ"; 409 VGA_G <= "ZZ";
405 VGA_B <= "ZZ"; 410 VGA_B <= "ZZ";
406 VGA_VS <= 'Z'; 411 VGA_VS <= 'Z';
407 VGA_HS <= 'Z'; 412 VGA_HS <= 'Z';
408   413  
409 -- PS2 414 -- PS2
410 PS2_DATA2 <= 'Z'; 415 PS2_DATA2 <= 'Z';
411 PS2_CLK2 <='Z'; 416 PS2_CLK2 <='Z';
412   417  
413 end architecture AtomicCounter_a; 418 end architecture AtomicCounter_a;