Line 8... |
Line 8... |
8 |
-- Tool versions: ISE 13.3 |
8 |
-- Tool versions: ISE 13.3 |
9 |
-- Description: Time and frequency synchronisation for RDMS01A. |
9 |
-- Description: Time and frequency synchronisation for RDMS01A. |
10 |
-- |
10 |
-- |
11 |
-- Dependencies: CLKGEN01B, GPS01A |
11 |
-- Dependencies: CLKGEN01B, GPS01A |
12 |
-- |
12 |
-- |
13 |
-- Version: $Id: PulseGen.vhd 2534 2012-09-02 13:40:37Z kakl $ |
13 |
-- Version: $Id: gtime.vhd 3172 2013-07-15 19:19:25Z kakl $ |
14 |
-- |
14 |
-- |
15 |
---------------------------------------------------------------------------------- |
15 |
---------------------------------------------------------------------------------- |
16 |
|
16 |
|
17 |
library IEEE; |
17 |
library IEEE; |
18 |
use IEEE.STD_LOGIC_1164.ALL; |
18 |
use IEEE.STD_LOGIC_1164.ALL; |
Line 167... |
Line 167... |
167 |
|
167 |
|
168 |
signal LO_CLOCK: std_logic; |
168 |
signal LO_CLOCK: std_logic; |
169 |
|
169 |
|
170 |
signal Decko: std_logic; |
170 |
signal Decko: std_logic; |
171 |
signal Disp: std_logic := '0'; |
171 |
signal Disp: std_logic := '0'; |
- |
|
172 |
signal Reset: std_logic := '0'; |
172 |
|
173 |
|
173 |
begin |
174 |
begin |
174 |
|
175 |
|
175 |
-- Basic LED Blinking Test |
176 |
-- Basic LED Blinking Test |
176 |
-- ======================= |
177 |
-- ======================= |
177 |
|
178 |
|
178 |
-- LED Bar Counter |
179 |
-- LED Bar Counter |
179 |
process (LO_CLOCK) |
180 |
process (LO_CLOCK) |
180 |
begin |
181 |
begin |
- |
|
182 |
if Reset = '0' then |
181 |
if rising_edge(LO_CLOCK) then |
183 |
if rising_edge(LO_CLOCK) then |
- |
|
184 |
|
- |
|
185 |
if Disp = '1' then |
- |
|
186 |
Reset <= '1'; |
- |
|
187 |
end if; |
- |
|
188 |
|
- |
|
189 |
Decko <= DIPSW(0); |
- |
|
190 |
|
182 |
if Counter < MAXCOUNT-1 then |
191 |
if Counter < MAXCOUNT-1 then |
183 |
Counter <= Counter + 1; |
192 |
Counter <= Counter + 1; |
184 |
else |
193 |
else |
185 |
Counter <= (others => '0'); |
194 |
Counter <= (others => '0'); |
186 |
Bar <= Bar + 1; |
195 |
Bar <= Bar + 1; |
187 |
end if; |
196 |
end if; |
188 |
end if; |
197 |
end if; |
189 |
end process; |
- |
|
190 |
|
198 |
|
191 |
process (LO_CLOCK) |
- |
|
192 |
begin |
199 |
else |
193 |
if rising_edge(LO_CLOCK) then |
200 |
Bar <= (others => '0'); |
194 |
Decko <= DIPSW(0); |
201 |
Reset <= '0'; |
195 |
end if; |
202 |
end if; |
196 |
end process; |
- |
|
197 |
|
203 |
|
- |
|
204 |
end process; |
198 |
|
205 |
|
199 |
process (LO_CLOCK) |
206 |
process (LO_CLOCK) |
200 |
begin |
207 |
begin |
201 |
if rising_edge(LO_CLOCK) then |
208 |
if rising_edge(LO_CLOCK) then |
202 |
if Decko = '1' then |
209 |
if Decko = '1' then |