Line 6... |
Line 6... |
6 |
-- Project Name: gtime |
6 |
-- Project Name: gtime |
7 |
-- Target Devices: XC3S50AN-4 |
7 |
-- Target Devices: XC3S50AN-4 |
8 |
-- Tool versions: ISE 13.3 |
8 |
-- Tool versions: ISE 13.3 |
9 |
-- Description: Time and frequency synchronisation for RDMS01A. |
9 |
-- Description: Time and frequency synchronisation for RDMS01A. |
10 |
-- |
10 |
-- |
11 |
-- Dependencies: CLKGEN01B, GPS01A |
11 |
-- Dependencies: CLKGEN01B, GPS01A, STM32F10xRxT01A |
12 |
-- |
12 |
-- |
13 |
-- Version: $Id: gtime.vhd 3219 2013-07-25 09:13:34Z kakl $ |
13 |
-- Version: $Id: gtime.vhd 3223 2013-07-25 22:41:43Z kakl $ |
14 |
-- |
14 |
-- |
15 |
---------------------------------------------------------------------------------- |
15 |
---------------------------------------------------------------------------------- |
16 |
|
16 |
|
17 |
library IEEE; |
17 |
library IEEE; |
18 |
use IEEE.STD_LOGIC_1164.ALL; |
18 |
use IEEE.STD_LOGIC_1164.ALL; |
Line 185... |
Line 185... |
185 |
State <= (others => '0'); |
185 |
State <= (others => '0'); |
186 |
end if; |
186 |
end if; |
187 |
end if; |
187 |
end if; |
188 |
end process; |
188 |
end process; |
189 |
|
189 |
|
190 |
-- Coding to BCD for LED Display |
- |
|
191 |
|
- |
|
192 |
process (Decko) |
190 |
process (Decko) |
193 |
begin |
191 |
begin |
194 |
if Decko = '0' then |
192 |
if Decko = '0' then |
195 |
LED(6) <= '1'; |
193 |
LED(6) <= '1'; |
196 |
else |
194 |
else |
197 |
LED(6) <= '0'; |
195 |
LED(6) <= '0'; |
198 |
end if; |
196 |
end if; |
199 |
end process; |
197 |
end process; |
200 |
|
198 |
|
201 |
SCLK <= B(0); |
199 |
SCLK <= B(0); |
202 |
-- SCLK2 <= ((not Decko) OR SCLK); |
- |
|
203 |
|
200 |
|
- |
|
201 |
-- Output Shift Register |
204 |
process (Decko,SCLK) |
202 |
process (Decko,SCLK) |
205 |
begin |
203 |
begin |
206 |
if (Decko = '0') then |
204 |
if (Decko = '0') then |
207 |
Number(31 downto 0) <= Freq(31 downto 0); |
205 |
Number(31 downto 0) <= Freq(31 downto 0); |
208 |
else |
206 |
else |