ÐÏࡱá>þÿ  ÀþÿÿÿÄqêÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿýÿÿÿ¿ýÿÿÿ       

 !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~€Root Entryÿÿÿÿÿÿÿÿ`º´mÞøËÁ@CacheÿÿÿÿÿÿÿÿÿÿÿÿhvCellsÿÿÿÿ°        þkÞøË°    þkÞøËPartsÿÿÿÿÿÿÿÿÿÿÿÿ°        þkÞøË°    þkÞøˁ‚ƒ„…†‡ˆ‰Š‹ŒŽ‘’“”•–—˜™š›œžŸ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾þÿÿÿÃþÿÿÿÂSéýÿÿÿÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿTitleBlock01C:\PROGRAM FILES\ORCAD\CAPTURE\LIBRARY\CAPSYM.OLBˆ.6ˆ.6@@     
TitleBlock01C:\PROGRAM FILES\ORCAD\CAPTURE\LIBRARY\CAPSYM.OLB0.Ȫ°Title.2<ÈȲSize.(2x<ðÈ°Document Number.J2\<È°Rev.PZÈæ±Date:.ÈPàZæ±Sheet(h(h2(2P(2JP(J2hP(PÈZ(ÈPhZ.P"Zàæ§ofhZ'ÿÿ
(<0 'ÿÿT<02'ÿÿ(0'ÿÿ
<0p'ÿÿúP0'ÿÿ6P0_'ÿÿ
4P06VDDC:\LIBRARY\ORCAD9X\SYMBOLS.OLBþ–†9þ–†9!!VDDC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0+
)



$PIN0



.O'ÿÿ1öÿ0o TITLE_ICZ
OFF_PAGE_IN_RC:\LIBRARY\ORCAD9X\SYMBOLS.OLBž@ž@##
OFF_PAGE_IN_RC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0)

)
)
)
)

$PIN0

!DS½'ÿÿ10
TITLE_MLABC:\LIBRARY\ORCAD9X\SYMBOLS.OLBÄë@Äë@@@

   
TITLE_MLABC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0.2</toSize.!2[<MÿÿProject Name.@2R<lRev.PZ/6ÿÿDate:(h2.PZ:6of.¹2<åSchematic Name.·PÏZã6Sheet(2hP)@2@P)´2´P)2P)´P´Z.7
Gæ Author)2(PhZ.
/æFirma.(
v&TðMLABhZ'ÿÿ
¾<0@'ÿÿJ<0 'ÿÿ
<0D'ÿÿðP0'ÿÿ,P0'ÿÿ
(P0'ÿÿ(<0a'ÿÿ"
0GNDC:\LIBRARY\ORCAD9X\SYMBOLS.OLBþ–†9þ–†9!!GNDC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0)

)
$PIN0

DSOFF_PAGE_OUT_RC:\LIBRARY\ORCAD9X\SYMBOLS.OLBª@ª@##OFF_PAGE_OUT_RC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0)
)
)
)
)


$PIN0

!DS'ÿÿ10R
OFF_PAGE_IN_LC:\LIBRARY\ORCAD9X\SYMBOLS.OLB)>)>##
OFF_PAGE_IN_LC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0)
)
)    
)    
)    



$PIN0



!DS'ÿÿ1°ÿ0ETEMPOBJ_0E.NormalSATA_DATA.NormalC:\LIBRARY\ORCAD9X\COM_CON.OLB¨íL¨íL ÇSATA_DATA.NormalC:\LIBRARY\ORCAD9X\COM_CON.OLB0      (      %+(        /5(        9?(        !(        (        
(        CI,         OOKK          ((n(n      GND(
2
!A+(2!A-(2!GND((2(!B-(222!´B+(<2<!GND(F2F!M(Z2Z!M(d2d!'ÿÿöÿ0m'ÿÿn0eJ      SATA_DATA
SG8002.NormalC:\LIBRARY\ORCAD9X\COM_XTAL.OLBZm@Zm@ ,+
SG8002.NormalC:\LIBRARY\ORCAD9X\COM_XTAL.OLB0(<Z.1.ŸÒªOSC<ZOE
öÿÿÿ
!°GNDPöÿÿÿP¡¬OUT<PFP!¬VCC<
F
¡°'ÿÿöÿ0'ÿÿZ0eUJUMP2X10_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J JUMP2X10_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB02++
)


)

.ÖÝk1)
+
.Öç3+))
+
".Öñj5+"))
((+
$,.)Öû7+$,)(()
22+
.6.)3Ö9+.6)22)
<<+
8@.3=Ö11+8@)<<)
FF+
BJ.=GÖ13+BJ)FF)
PP+
LT.GQÖ#15+LT)PP)
ZZ+
V^.Q[Ö-17+V^)ZZ)
dd+
`h.[eÖ719+`h)ddn1
(
!2
(
!3(!4(!5(!6(!7(((!8(((!92(2!102(2!11<(<!13F(F!14F(F!p15P(P!16P(P!17Z(Z!18Z(Z!19d(d!20d(d!12<(<!'ÿÿöÿ0N'ÿÿn0eJJUMP2X10JUMP2X4.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J ýJUMP2X4.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0+++++$,+"+"+$,)

)))(())

))((21
öÿÿÿ
!Uh2
(
!T3öÿÿÿ!R4(!U5öÿÿÿ!U6(!T7(öÿÿÿ(!S8(((!R'ÿÿöÿ0l'ÿÿ20eJJUMP2X8_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J ÕJUMP2X8_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0(++
)


)

.ÖÝÅ1)
+
.Öç3+))
+
".Öñ¿5+"))
((+
$,.)Öû7+$,)(()
22+
.6.)3Ö9+.6)22)
<<+
8@.3=Ö11+8@)<<)
FF+
BJ.=GÖ13+BJ)FF)
PP+
LT.GQÖ#15+LT)PPZ1
(
!2
(
!3(!Ä­4(!5(!®6(!7(((!8(((!Ä­92(2!102(2!0511<(<!13F(F!14F(F!Ä15P(P!Ä:Æ16P(P!Æz½12<(<!½<¾'ÿÿöÿ0o'ÿÿZ0,JJUMP2X8C0805.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLBZ@8>Z@8> 7C0805.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLB0)

)))

1
öÿÿÿ
!ª-2

!°'ÿÿöÿ0'ÿÿ0eC
JUMP22.NormalC:\LIBRARY\ORCAD9X\JUMP.OLBà|%Mà|%M 
JUMP22.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0,+++$,+"+.6)

)))(()22)<<+BJ+8@+`h)PP+LT)dd)ZZ)FF+V^)nn+t|+jr+’š)‚‚+~†)––)ŒŒ)xx+ˆ)  +¦®+œ¤+ÄÌ)´´+°¸)ÈÈ)¾¾)ªª+ºÂ)ÒÒ+Øà+ÎÖ)ÜÜæ1
öÿÿÿ
!2öÿÿÿ!3öÿÿÿ!4(öÿÿÿ(!52öÿÿÿ2!6<öÿÿÿ<!7FöÿÿÿF!8PöÿÿÿP!9ZöÿÿÿZ!10döÿÿÿd!11nöÿÿÿn!12xöÿÿÿx!13‚öÿÿÿ‚!n14ŒöÿÿÿŒ!15–öÿÿÿ–!16 öÿÿÿ !17ªöÿÿÿª!18´öÿÿÿ´!19¾öÿÿÿ¾!20ÈöÿÿÿÈ!21ÒöÿÿÿÒ!22ÜöÿÿÿÜ!'ÿÿöÿ0'ÿÿæ0eJJUMP9.NormalXC3S50AN-TQG144C.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäL XC3S50AN-TQG144C.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLB08)2–2)' )'!))
  )'')
)))
 )))'       .(ˆ&0š1
FPGA - BANK 1) )' ))'#)     )'
 )))' )))
)
' )))
)'')' )'")'") ))
)  .(‚0SPARTAN 3AN)' ))) ) ')
)
 ))' )) )   )'#)))'!(–6–6VCCO_1<öÿÿÿ<!¯VCCO_1FöÿÿÿF!
HDC/IO_L01P_1–< <!LDC2/IO_L01N_1–F F!LDC1/IO_L02P_1–P P!LDC0/IO_L02N_1–Z Z! IO_L03P_1–d d!  IO_L03N_1–n n!RHCLK0/IO_L04P_1–x x!RHCLK1/IO_L04N_1–‚ ‚!RHCLK2/IO_L05P_1–Œ Œ!TRDY1/RHCLK3/IO_L05N_1–– –!RHCLK4/IO_L06P_1–   !¯RHCLK5/IO_L06N_1–ª ª!IRDY1/RHCLK6/IO_L07P_1–´ ´!­     RHCLK7/IO_L07N_1–¾ ¾!/ND  IO_L08P_1–È È!        IO_L08N_1–Ò Ò!        IO_L09P_1–Ü Ü!®       IO_L09N_1–æ æ!        IO_L10P_1–ð ð!D        IO_L10N_1–ú ú!        IO_L11P_1– !  IO_L11N_1– !IO_1– !0†L_VREF_1/IP_1–" "! FÍ­VREF_1/IP_1–, ,!'ÿÿ604'ÿÿ@0eUXC3S50AN-4TQG144CXC3S50ANC.Normal
TPS.NormalC:\LIBRARY\ORCAD9X\PAD.OLB¬›@¬›@ +
TPS.NormalC:\LIBRARY\ORCAD9X\PAD.OLB0
1
öÿÿÿ
''ÿÿ
0'ÿÿ20eTPSMCP6546T-I/OTG.NormalC:\LIBRARY\ORCAD9X\AMP.OLB^•"D^•"D MCP6546T-I/OTG.NormalC:\LIBRARY\ORCAD9X\AMP.OLB0)()(()())

))

)
(
#.
€<ÃCOMP)       )
)
!!)
      )         )
  ")        " ))))((OUT(2!nºIN-öÿÿÿ!jitIN+
öÿÿÿ
!ÀiV-
(
2!vÆpV+

öÿÿÿ!}j'ÿÿöÿ0G'ÿÿ(0eU
DIPSW8.NormalC:\LIBRARY\ORCAD9X\DIPSW.OLBD¡:>D¡:> k
DIPSW8.NormalC:\LIBRARY\ORCAD9X\DIPSW.OLB0<)

)
))
))))
)
))

)))
))
))

 )  ) )
  ) )
))
&
*)**)&*)
**)*&)&
&)&&)
0
4)44)04)
44)40)0
0)00)
:
>)>>):>)
>>)>:):
:)::)
D
H)HH)DH)
HH)HD)D
D)DD((Z)
N
R)RR)NR)
RR)RN)N
N)NN(Z1
öÿÿÿ
!LB2öÿÿÿ!3öÿÿÿ!4(öÿÿÿ(!f52öÿÿÿ2!6<öÿÿÿ<!µ7FöÿÿÿF!8PöÿÿÿP!9(P2P!10(F2F!íÀ11(<2<!¾P¬12(222!13((2(!¿14(2!À15(2!«U¬16(
2
!'ÿÿöÿ0'ÿÿZ0eSWTEMPOBJ_0C.NormalJUMP2X20_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J ûJUMP2X20_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0d++
)


)

.Ö«S1)
+
.ÖµS3+))
+
".Ö¿R5+"))
((+
$,.)ÖÉS7+$,)(()
22+
.6.)3ÖÓS9+.6)22)
<<+
8@.3=ÖÝS11+8@)<<)
FF+
BJ.=GÖçS13+BJ)FF)
PP+
LT.GQÖñS15+LT)PP)
ZZ+
V^.Q[ÖûS17+V^)ZZ)
dd+
`h.[eÖS19+`h)dd)
nn+
jr.eoÖT21+jr)nn)
ÈÈ+
ÄÌ.¿ÉÖi½39+ÄÌ)ÈÈ)
¾¾+
ºÂ.µ¿Ö_®37+ºÂ)¾¾)
´´+
°¸.«µÖU35+°¸)´´)
ªª+
¦®.¡«ÖK33+¦®)ªª)
  +
œ¤.—¡ÖA31+œ¤)  )
––+
’š.—Ö729+’š)––)
ŒŒ+
ˆ.ƒÖ-27+ˆ)ŒŒ)
‚‚+
~†.yƒÖ#25+~†)‚‚)
xx+
t|.oyÖ23+t|)xxÒ(1
(
!2
(
!3(!4(!5(!6(!7(((!8(((!92(2!102(2!11<(<!13F(F!14F(F!T15P(P!16P(P!17Z(Z!18Z(Z!19d(d!20d(d!21n(n!22n(n!23x(x!24x(x!25‚(‚!26‚(‚!27Œ(Œ!28Œ(Œ!29–(–!30–(–!31 ( !33ª(ª!34ª(ª!35´(´!36´(´!37¾(¾!38¾(¾!39È(È!40È(È!32 ( !12<(<!'ÿÿöÿ0N'ÿÿÒ0eJJUMP2X20JUMP1.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J ãJUMP1.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0+)

1
öÿÿÿ
!È'ÿÿöÿ0'ÿÿ0eJTEMPOBJ_0.NormalMINIDIN6_PS2.NormalC:\LIBRARY\ORCAD9X\COM_CON.OLBVq@Vq@ ŠMINIDIN6_PS2.NormalC:\LIBRARY\ORCAD9X\COM_CON.OLB0(F.FP|úPS/2FDATA
öÿÿÿ
!2öÿÿÿ!GNDöÿÿÿ!CLK2öÿÿÿ2!6<öÿÿÿ<!+5V(öÿÿÿ(!'ÿÿöÿ0N'ÿÿP0eJLM1086S_TO263.NormalC:\LIBRARY\ORCAD9X\POWER.OLB"t@"t@ Ö+LM1086S_TO263.NormalC:\LIBRARY\ORCAD9X\POWER.OLB0(d2d2IN
öÿÿÿ
! ÄOUTdn!¯ADJ2<!†L_OUTd
n
!×®'ÿÿöÿ0'ÿÿ<20eULM1086SAT45DBxxxD-SSH-B.NormalD:\MLAB_FPGA\S3AN01A.OLBÊêLÊêL žAT45DBxxxD-SSH-B.NormalD:\MLAB_FPGA\S3AN01A.OLB0.*h$HOSPI(F2F2SI
öÿÿÿ
!LB8SCLKöÿÿÿ!BJ3RESETöÿÿÿ%.O05CS(öÿÿÿ(%.OUWPF(P(%BJ8VCCFP!—«GNDFP!¬-SOF
P
!—'ÿÿöÿ0H'ÿÿ20eUAT45DBxxxD-SSH-BDB15F_3L_90.NormalPUSH050x050.NormalC:\LIBRARY\ORCAD9X\COM_SW.OLBÊ¡(@Ê¡(@ yPUSH050x050.NormalC:\LIBRARY\ORCAD9X\COM_SW.OLB0)

)

)

(++1
öÿÿÿ
!2

!'ÿÿöÿ0o'ÿÿ0eSWFIDU.NormalC:\LIBRARY\ORCAD9X\SYMBOLS.OLBé8>é8> bFIDU.NormalC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0++



DUMMY

¿'ÿÿ
ûÿ0'ÿÿ
0eMXILINX_P3_FPGA_PGMSIDE.NormalHOLE_M3.NormalC:\LIBRARY\ORCAD9X\PAD.OLB®›@®›@ MHOLE_M3.NormalC:\LIBRARY\ORCAD9X\PAD.OLB0+)

PIN

!ºN'ÿÿöÿ0l'ÿÿ0eMR0805.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLBZ@8>Z@8> JR0805.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLB0))))1
öÿÿÿ
!·2

!·'ÿÿöÿ0'ÿÿ0eRAT45DBxxxD-SH-B.NormalD:\MIHO\MLAB_FPGA\S3AN01A.OLB‚LM‚LM ¿AT45DBxxxD-SH-B.NormalD:\MIHO\MLAB_FPGA\S3AN01A.OLB0.*^.OLSPI(F2F2SI
öÿÿÿ
!OL§SCLKöÿÿÿ!LB8RESETöÿÿÿ%.O05CS(öÿÿÿ(%BJ3WPF(P(%.OUVCCFP!BJ8GNDFP!°ˆ°SOF
P
!±'ÿÿöÿ0-'ÿÿ20eUAT45DBxxxD-SSH-BJUMP4.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J JUMP4.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0+++$,+")

)))((21
öÿÿÿ
!À  2öÿÿÿ!½
3öÿÿÿ!\ú4(öÿÿÿ(!­'ÿÿöÿ0'ÿÿ20eJ
JUMP20.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J 

JUMP20.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0(+++$,+"+.6)

)))(()22)<<+BJ+8@+`h)PP+LT)dd)ZZ)FF+V^)nn+t|+jr+’š)‚‚+~†)––)ŒŒ)xx+ˆ)  +¦®+œ¤+ÄÌ)´´+°¸)ÈÈ)¾¾)ªª+ºÂÒ1
öÿÿÿ
!*»2öÿÿÿ!S©3öÿÿÿ!¡]4(öÿÿÿ(!ͯ52öÿÿÿ2!ÿÿÿ6<öÿÿÿ<!7FöÿÿÿF!±Â8PöÿÿÿP!²¯9ZöÿÿÿZ!ç³10döÿÿÿd!îÂ11nöÿÿÿn!cW12xöÿÿÿx!å©13‚öÿÿÿ‚!µ       ²14ŒöÿÿÿŒ!ê¬15–öÿÿÿ–!¶16 öÿÿÿ !Ó²17ªöÿÿÿª!¡µ18´öÿÿÿ´!h[19¾öÿÿÿ¾!¯¶20ÈöÿÿÿÈ!à½'ÿÿöÿ0'ÿÿÒ0eJJUMP2X2_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLBdMdM JUMP2X2_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0
++
)


)

.NÀ1)
+
.NÁ3+)1
(
!½2
(
!DS3(!4(!DS'ÿÿöÿ0o'ÿÿ0eJJUMP2X2JUMP2X2.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J JUMP2X2.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0++++)

)))

1
öÿÿÿ
!v52
(
!q-t3öÿÿÿ!uµ4(!q-µ'ÿÿöÿ0l'ÿÿ0eJR-4B-1205.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLB6c@6c@ =R-4B-1205.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLB0)"))"")")))))))))$,),,),$)$$21
öÿÿÿ
!¹2

!°3öÿÿÿ!°4!g5öÿÿÿ!¶6!µ7(öÿÿÿ(!°8((!µ'ÿÿöÿ0m'ÿÿ20eRXC3S50AN-TQG144A.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäL XC3S50AN-TQG144A.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLB0@)2–2)' )'!))
  )'')
)))
 )))'       .(”&:r(
FPGA - COMMON) )' ))'#)      )'
 )))' )))
)
' )))
)'')' )'")'") ))
)  .(‚:e;SPARTAN 3AN)' ))) ) ')
)
 ))' )) )   )'#)))'!(–†.2ÒjâD,+3.3V.2 j°DúLK+1.2V.2Z"Dr/IBank 0/2.26Z@DUTBank 1/3.2Tj^D®LKAll Signals.2"x,D| - Dif Outputs.2@ˆJDš - Strong Outputs.2^jhD¸ - max 3.3V–†TMS<öÿÿÿ<!'TDIFöÿÿÿF!GNDöÿÿÿ!GNDöÿÿÿ!VCCINT öÿÿÿ !÷-GNDöÿÿÿ!(GND"öÿÿÿ"!VCCAUXÒöÿÿÿÒ!ô®VCCINTªöÿÿÿª!GND,öÿÿÿ,!GND6öÿÿÿ6!VCCAUXÜöÿÿÿÜ!LKL07DONExöÿÿÿx!(/SUSPENDŒöÿÿÿŒ!GND@öÿÿÿ@!÷-GNDJöÿÿÿJ!®VCCINT´öÿÿÿ´!®GNDTöÿÿÿT!3GND^öÿÿÿ^!TDOPöÿÿÿP!VCCAUXæöÿÿÿæ!TCKZöÿÿÿZ!GNDhöÿÿÿh!VCCINT¾öÿÿÿ¾!î2GNDröÿÿÿr!VCCAUXðöÿÿÿð!GND|öÿÿÿ|!­PROG_Bnöÿÿÿn!'ÿÿ†04'ÿÿ0eUXC3S50AN-4TQG144CXC3S50ANA.Normal4LED7_12PIN.NormalC:\LIBRARY\ORCAD9X\COM_OPTO.OLBÔ"MÔ"M §4LED7_12PIN.NormalC:\LIBRARY\ORCAD9X\COM_OPTO.OLB0()¾#Ö#)¸D¶\)»&¹>)¹_Ñ_)¼AÔA)ÖDÔ\)Ù%×=)‰&‡>)¤D¢\)‡_Ÿ_)ŠA¢A)§%¥=)Œ#¤#)†D„\)W&U>)rDp\)U_m_)XApA)u%s=)Z#r#)TDR\)%&#>)@D>\)#_;_)%A=A)C%A=)(#@#)"D \.;A 9.¡A.F-L7DEB.BKHU@cC.-R3\+jD.JTbDSE.-7EF./55?-MG(x]|a(ª]®a(F]Ja(Ü]àa(ænænAöÿÿÿ!Böÿÿÿ!DSC(öÿÿÿ(!D2öÿÿÿ2!E<öÿÿÿ<!FFöÿÿÿF! BGPöÿÿÿP!DPZöÿÿÿZ!$ CA422öÿÿÿ!CA3ddöÿÿÿ!DSã™CA2––öÿÿÿ!ЕCA1ÈÈöÿÿÿ!ݙ'ÿÿæ0o'ÿÿæ
0eU       HD-M514RDMCP6001T-I/OTG.NormalC:\LIBRARY\ORCAD9X\AMP.OLB†”"D†”"D MCP6001T-I/OTG.NormalC:\LIBRARY\ORCAD9X\AMP.OLB0)()(()())

))

)
(
#.}<OA)  )
)
!!)
      )         )
  ")        " ((OUT(2!zIN-öÿÿÿ!DS5IN+
öÿÿÿ
!ulV-
(
2!23V+

öÿÿÿ!OLj'ÿÿöÿ0G'ÿÿ(0eUJUMP2X12_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J äJUMP2X12_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0<++
)


)

.Ö«¿1)
+
.Öµª3+))
+
".Ö¿Q5+"))
((+
$,.)ÖÉR7+$,)(()
22+
.6.)3ÖÓ9+.6)22)
<<+
8@.3=ÖÝ11+8@)<<)
FF+
BJ.=GÖç13+BJ)FF)
PP+
LT.GQÖñ15+LT)PP)
ZZ+
V^.Q[Öû17+V^)ZZ)
dd+
`h.[eÖÿÿ19+`h)dd)
nn+
jr.eoÖ21+jr)nn)
xx+
t|.oyÖ23+t|)xx‚1
(
!2
(
!3(!4(!ÿÿþÿÿ5(!6(!ÿÿþÿÿ7(((!ÿÿþÿÿ8(((!ÿÿþÿÿ92(2!102(2!ÿÿ11<(<!wnd13F(F! P14F(F!Rþÿÿ15P(P!16P(P!17Z(Z!18Z(Z!19d(d!20d(d!21n(n!22n(n!23x(x!24x(x!12<(<!ÿÿýÿÿ'ÿÿöÿ0N'ÿÿ‚0eJJUMP2X12DB15F_3L_0.NormalD:\MIHO\MLAB_FPGA\S3AN01A.DSNp½æLp½æL DB15F_3L_0.NormalD:\MIHO\MLAB_FPGA\S3AN01A.DSN0-* ((
'*)'*” š * ’(š'™(–)'™ )(
(–)›)22))  +++ )

+&*+04+0 4))((+DH+NR+N R+bf+lp+€„+ŠŽ+Š Ž)PP)nn)ŒŒ)FF)dd)‚‚)))<4)<<)––)–Ž)xx)xp)ZR)ZZ+l p( 1öÿÿÿ!22öÿÿÿ2!3PöÿÿÿP!4nöÿÿÿn!6
öÿÿÿ
!7(öÿÿÿ(!8FöÿÿÿF!9döÿÿÿd!10‚öÿÿÿ‚!11öÿÿÿ!12<öÿÿÿ<!13ZöÿÿÿZ!14xöÿÿÿx!R15–öÿÿÿ–!16(–2–!17(
2
!5ŒöÿÿÿŒ!'ÿÿöÿ0r'ÿÿ 0eJXC3S50AN-TQG144D.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäL XC3S50AN-TQG144D.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLB08)2–2)' )'!))
  )'')
)))
 )))'       .(ˆ&0|(
FPGA - BANK 2) )' ))'#)      )'
 )))' )))
)
' )))
)'')' )'")'") ))
)  .(‚0oSPARTAN 3AN)' ))) ) ')
)
 ))' )) )   )'#)))'!(–h–h VCCO_2<öÿÿÿ<!VCCO_2FöÿÿÿF!M1/IO_L01P_2–< <!M0/IO_L01N_2–F F!¬M2/IO_L02P_2–P P!CSO_B/IO_L02N_2–Z Z!RDWR_B/IO_L03P_2–d d!5
VS1/IO_L03N_2–n n!_¬
VS2/IO_L04P_2–x x!¬
VS0/IO_L04N_2–‚ ‚!*  IO_L05P_2–Œ Œ!0D7/IO_L05N_2–– –!0    IO_L06P_2–   !­D6/IO_L06N_2–ª ª!cD5/IO_L07P_2–´ ´!5D4/IO_L07N_2–¾ ¾!<GCLK14/IO_L08P_2–È È!(GCLK15/IO_L08N_2–Ò Ò!2GCLK0/IO_L09P_2–Ü Ü!GCLK1/IO_L09N_2–æ æ!²'GCLK2/IO_L10P_2–ð ð!GCLK3/IO_L10N_2–ú ú!AWAKE/IO_L11P_2– !DOUT/IO_L11N_2– !INIT_B/IO_L12P_2– !ú®D3/IO_L12N_2–" "!D2/IO_L13P_2–, ,!DIN/MISO/D0/IO_L13N_2–6 6!D1/IO_L14P_2–@ @!CCLK/IO_L14N_2–J J!ú®VREF_2/IP_2–T T!MOSI/CSI_B/IO_2–^ ^!'ÿÿh04'ÿÿr0eUXC3S50AN-4TQG144CTEMPOBJ_0A.NormalXC3S50AND.NormalSN74LVC16244ADL.NormalC:\LIBRARY\ORCAD9X\TI\GATES.OLB˜&#M˜&#M SN74LVC16244ADL.NormalC:\LIBRARY\ORCAD9X\TI\GATES.OLB0©)))))))

)

)

)

))#)))#)(#)-()(()(()#-)2-)72)22)22)-7)-
)))&#)0-)--)##))ni)UU)ZZ)sn)__)U_)PK)XU)nn)nn)d_)UP)PP)is)id)PP)KU)KK)iF)KN)FF)b_)F
A)li)dd)
A
K)ZU)dd)
KF)_i)ii)_Z)ZZ)ª¥)‘‘)––)¯ª)››)‘›)Œ‡)”‘)ªª)ªª) ›)‘Œ)ŒŒ)¥¯)¥ )ŒŒ)‡‘)‡‡)¥‚)‡Š)‚‚)ž›)‚
})¨¥)  )
}
‡)–‘)  )
‡‚)›¥)¥¥)›–)––)æá)ÍÍ)ÒÒ)ëæ)××)Í×)ÈÃ)ÐÍ)ææ)ææ)Ü×)ÍÈ)ÈÈ)áë)áÜ)ÈÈ)ÃÍ)ÃÃ)á¾)ÃÆ)¾¾)Ú×)¾
¹)äá)ÜÜ)
¹
Ã)ÒÍ)ÜÜ)
þ)×á)áá)×Ò)ÒÒ+++"$+JL+TV+^`+†ˆ+’+šœ+ÂÄ+ÌÎ+ÖØ+
)

+D
H)FF+€
„)‚‚+¼
À)¾¾.öúXVCC.µ¹EÍ®OE#.y}E‘OE#.=AEUOE#.EOE#.XVCC.
X"®VCC.X,VCC.öúEGND.EGND.
E"GND.E,GND."E6GND.(,E@GND.26EJGND.<@ETGND(JJ01OE#
öÿÿÿ
!1Y1(!1Y2(!GNDúöÿÿÿú!1Y3(((!1Y42(2!VCCú(ú!2Y1P(P!2Y2Z(Z!GNDöÿÿÿ!2Y3d(d!2Y4n(n!3Y1Œ(Œ!È3Y2–(–!GNDöÿÿÿ!3Y3 ( !3Y4ª(ª!VCC(!4Y1È(È!4Y2Ò(Ò!GNDöÿÿÿ!4Y3Ü(Ü!4Y4æ(æ!4OE#¾öÿÿÿ¾!3OE#‚öÿÿÿ‚!4A4æöÿÿÿæ!4A3ÜöÿÿÿÜ!GND"öÿÿÿ"!4A2ÒöÿÿÿÒ!4A1ÈöÿÿÿÈ!VCC(!3A4ªöÿÿÿª!3A3 öÿÿÿ !GND,öÿÿÿ,!3A2–öÿÿÿ–!3A1ŒöÿÿÿŒ!2A4nöÿÿÿn!2A3döÿÿÿd!GND6öÿÿÿ6!2A2ZöÿÿÿZ!2A1PöÿÿÿP!VCC(!1A42öÿÿÿ2!1A3(öÿÿÿ(!GND@öÿÿÿ@!1A2öÿÿÿ!1A1öÿÿÿ!2OE#FöÿÿÿF!'ÿÿöÿ0'ÿÿJ0eUSN74LVC16244ADLTEMPOBJ_0D.NormalSST25LF040A-33-4C.NormalD:\MIHO\MLAB_FPGA\S3AN01A.OLB|TM|TM ¿SST25LF040A-33-4C.NormalD:\MIHO\MLAB_FPGA\S3AN01A.OLB0.)
]/OLSPI(F2F2CS
öÿÿÿ
%O.SOöÿÿÿ!OL5WPöÿÿÿ%.O05GND(öÿÿÿ(!LB8SIF(P(!.O05SCLKFP!BJ3HOLDFP%.OUVDDF
P
!¯'ÿÿöÿ03'ÿÿ20eUSST24LF040A-33-4C-S2AE
JUMP10.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J 
JUMP10.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0+++$,+"+.6)

)))(()22)<<+BJ+8@+`h)PP+LT)dd)ZZ)FF+V^n
1
öÿÿÿ
!m2öÿÿÿ!mLl3öÿÿÿ!¯4(öÿÿÿ(!o52öÿÿÿ2!mÉl6<öÿÿÿ<!m7FöÿÿÿF!m8PöÿÿÿP!m9ZöÿÿÿZ!mBj10döÿÿÿd!m'ÿÿöÿ0'ÿÿn0eJLM1117DTX_TO252.NormalC:\LIBRARY\ORCAD9X\POWER.OLBF"t@F"t@ Ý+LM1117DTX_TO252.NormalC:\LIBRARY\ORCAD9X\POWER.OLB0(d2d2IN
öÿÿÿ
!ÆOUTd
n
!¾ADJ2<!»¹'ÿÿöÿ05'ÿÿ<20eU     LM1117DTXC-ELYTB.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLB6c@6c@ ÙC-ELYTB.NormalC:\LIBRARY\ORCAD9X\COM_RLC.OLB0)

)))

))A
öÿÿÿ
!C

!4»'ÿÿöÿ0l'ÿÿ0eCJUMP2X3_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J ;JUMP2X3_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0++
)


)

.à1)
+
.à3+))
+
".à³5+")(1
(
!^Â2
(
!Æ3(!ÿÿÿ4(!5(!6(!'ÿÿöÿ0o'ÿÿ(0eJJUMP2X3 XILINX_P3_FPGA_TARGETSIDE.Normal*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB2ÆêL2ÆêL R XILINX_P3_FPGA_TARGETSIDE.Normal*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB0
(  ((')(13(;=(EG(OQ(Y[(((d(dVCC(
2
!-GND(2!CCLK(2!D/P(<2<!DIN(F2F!PROG(P2P!05'ÿÿd09'ÿÿn0eJJUMP9_X4_X5_X9AT25DF0xxA-SSH.NormalD:\MIHO\MLAB_FPGA\S3AN01A.OLB„=M„=M žAT25DF0xxA-SSH.NormalD:\MIHO\MLAB_FPGA\S3AN01A.OLB0.)
]/O.SPI(F2F2CS
öÿÿÿ
%HOSOöÿÿÿ!.O05WPöÿÿÿ%LB8GND(öÿÿÿ(!.O05SIF(P(!BJ3SCLKFP!.OUHOLDFP%BJVDDF
P
!'ÿÿöÿ0H'ÿÿ20eUAT25DF0xxA-SSHJUMP2.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB ±{@ ±{@ €JUMP2.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0++)

)1
öÿÿÿ
!LB2öÿÿÿ!T.-'ÿÿöÿ0'ÿÿ0eJFIDU_PASTE.NormalC:\LIBRARY\ORCAD9X\SYMBOLS.OLBF܆CF܆C cFIDU_PASTE.NormalC:\LIBRARY\ORCAD9X\SYMBOLS.OLB0+

(

DUMMY

.O'ÿÿ
ûÿ0r'ÿÿ
0eM2N7000SMD.NormalJUMP2X16_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J JUMP2X16_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0P++
)


)

.Ö«µ1)
+
.Öµ:´3+))
+
".Ö¿È5+"))
((+
$,.)ÖɹI7+$,)(()
22+
.6.)3ÖÓ²¢9+.6)22)
<<+
8@.3=ÖÝY
11+8@)<<)
FF+
BJ.=GÖçäV13+BJ)FF)
PP+
LT.GQÖñáa15+LT)PP)
ZZ+
V^.Q[Öû±Š17+V^)ZZ)
dd+
`h.[eÖ119+`h)dd)
nn+
jr.eoÖm>21+jr)nn)
  +
œ¤.—¡ÖA¡"31+œ¤)  )
––+
’š.—Ö7$ˆ29+’š)––)
ŒŒ+
ˆ.ƒÖ-Ü27+ˆ)ŒŒ)
‚‚+
~†.yƒÖ#¯~25+~†)‚‚)
xx+
t|.oyÖä23+t|)xxª 1
(
!6K„jT2
(
!kn>¸3(!1Q954(!G6j™¨5(!ƒ ¢D16(!KYÜlµ7(((!ëUÚ\8(((!*%°‹92(2!D9@Á2102(2!m%È11<(<!]¨áÈ13F(F!¥ò‡·p14F(F!Èïj15P(P!ΧCyÆ16P(P!¯ª:17Z(Z!18Z(Z!19d(d!20d(d!21n(n!22n(n!23x(x!24x(x!25‚(‚!26‚(‚!27Œ(Œ!28Œ(Œ!29–(–!30–(–!31 ( !32 ( !12<(<!'ÿÿöÿ0N'ÿÿª0eJJUMP2X16JUMP2X3.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB ±{@ ±{@ ;JUMP2X3.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0+++++"+")

))))

)(1
öÿÿÿ
!´¬2
(
!¬3öÿÿÿ!µ4(!µ5öÿÿÿ!°6(!µ±'ÿÿöÿ0l'ÿÿ(0eJBS170SMD.NormalC:\LIBRARY\ORCAD9X\COM_T.OLB?@?@ EBS170SMD.NormalC:\LIBRARY\ORCAD9X\COM_T.OLB0,

))   )        )

))    )         )
     
)

)     )
,))++Döÿÿÿ TG
öÿÿÿ
 ²+«S ²Æ'ÿÿ0a'ÿÿ
0eQ
LED3mm.NormalC:\LIBRARY\ORCAD9X\COM_OPTO.OLBʈv@ʈv@ ]
LED3mm.NormalC:\LIBRARY\ORCAD9X\COM_OPTO.OLB0)
),

))),

 ,Aöÿÿÿ ¿.¹C ¿'ÿÿöÿ0'ÿÿ0eD
1N5820.NormalC:\LIBRARY\ORCAD9X\COM_D.OLBVŽ@VŽ@ '
1N5820.NormalC:\LIBRARY\ORCAD9X\COM_D.OLB0)))
)

,
))

)

A
öÿÿÿ
 ¹tC

 ɵ'ÿÿöÿ0'ÿÿ0eDXC3S50AN-TQG144B.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäL XC3S50AN-TQG144B.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLB08) ')'!)' )  )
)))' ))    )'')'!))))' )' )))))'#)' ))
)'")
' )'
 )''))
  )) )
))       .(ˆ&0:
FPGA - BANK 0).(‚0ƒSPARTAN 3AN)' ) )
 )'#)'        ) )))
)
 ) )))'")
)2–2(–J–JVCCO_0<öÿÿÿ<!­VCCO_0FöÿÿÿF!  IO_L01P_0–< <!  IO_L01N_0–F F!VREF_0/IO_L02P_0–P P!V­   IO_L02N_0–Z Z!  IO_L03P_0–d d!  IO_L03N_0–n n!  IO_L04P_0–x x!  IO_L04N_0–‚ ‚!        IO_L05P_0–Œ Œ!
 IO_L05N_0–– –!GCLK4/IO_L06P_0–   !®GCLK5/IO_L06N_0–ª ª!GCLK6/IO_L07P_0–´ ´!GCLK7/IO_L07N_0–¾ ¾!L_/­GCLK8/IO_L08P_0–È È!GCLK9/IO_L08N_0–Ò Ò!­GCLK10/IO_L09P_0–Ü Ü!GCLK11/IO_L09N_0–æ æ!: C   IO_L10P_0–ð ð!J7        IO_L10N_0–ú ú!        IO_L11P_0– !'  IO_L11N_0– !VREF_0/IO_L12P_0– !PUDC_B/IO_L12N_0–" "!£®IP_0–, ,!IO_0–6 6!®VREF_0/IP_0–@ @!'ÿÿJ04'ÿÿT0eUXC3S50AN-4TQG144CXC3S50ANB.NormalBC856SMD.NormalC:\LIBRARY\ORCAD9X\COM_T.OLBv=@v=@ ©BC856SMD.NormalC:\LIBRARY\ORCAD9X\COM_T.OLB0)

)

)


),)

Cöÿÿÿ LBB
öÿÿÿ
 )
E 'ÿÿ0a'ÿÿ
0eQJUMP2X4_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦J ýJUMP2X4_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0++
)


)

.ÖÝ1)
+
.Öç3+))
+
".ÖñV5+"))
((+
$,.)Öû7+$,)((21
(
!2
(
!¿3(!äS4(!5(!6(!7(((!8(((!'ÿÿöÿ0o'ÿÿ20eJJUMP2X4 XILINX_P3_JTAG_TARGETSIDE.Normal*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB`ÆêL`ÆêL T XILINX_P3_JTAG_TARGETSIDE.Normal*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB0
(  ((')(13(;=(EG(OQ(Y[(((d(dVCC(
2
!›8GND(2!›05TCK((2(!›TDO(<2<!›TDI(F2F!›–TMS(Z2Z!›ת'ÿÿd08'ÿÿn0eJJUMP9_X3_X5_X8JUMP2X9.NormalXC3S50AN-TQG144E.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäL XC3S50AN-TQG144E.Normal&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLB08)2–2)' )'!))
  )'')
)))
 )))'       .(ˆ&06
FPGA - BANK 3) )' ))'#)     )'
 )))' )))
)
' )))
)'')' )'")'") ))
)  .(‚0ƒSPARTAN 3AN)' ))) ) ')
)
 ))' )) )   )'#)))'!(–@–@     IO_L01P_3–< <!  IO_L01N_3–F F!  IO_L02P_3–P P!  IO_L02N_3–Z Z!  IO_L03P_3–d d!;  IO_L03N_3–n n!5  IO_L04P_3–x x!7VREF_3/IO_L04N_3–‚ ‚!6LHCLK0/IO_L05P_3–Œ Œ!6heLHCLK1/IO_L05N_3–– –!:LHCLK2/IO_L06P_3–   !9IRDY2/LHCLK3/IO_L06N_3–ª ª!1LHCLK4/IO_L07P_3–´ ´!7LHCLK5/IO_L07N_3–¾ ¾!­TRDY2/LHCLK6/IO_L08P_3–È È!LHCLK7/IO_L08N_3–Ò Ò!     IO_L09P_3–Ü Ü!        IO_L09N_3–æ æ!        IO_L10P_3–ð ð!        IO_L10N_3–ú ú!         IO_L11P_3– !  IO_L11N_3– !  IO_L12P_3– !  IO_L12N_3–" "!  IP_L13P_3–, ,!VREF_3/IP_L13N_3–6 6!abVCCO_3<öÿÿÿ<!6VCCO_3FöÿÿÿF!9'ÿÿ@04'ÿÿJ0eUXC3S50AN-4TQG144CTEMPOBJ_0B.NormalXC3S50ANE.NormalJUMP2X22_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLBMM JUMP2X22_CON.NormalC:\LIBRARY\ORCAD9X\JUMP.OLB0n++
)


)

.D¡¶1)
+
.D«Á3+))
+
".DµÅ5+"))
((+
$,.)D¿\7+$,)(()
22+
.6.)3DÉ]9+.6)22)
<<+
8@.3=DÓa11+8@)<<)
FF+
BJ.=GDÝY13+BJ)FF)
PP+
LT.GQDçÁ15+LT)PP)
ZZ+
V^.Q[Dñ\17+V^)ZZ)
dd+
`h.[eDû¯19+`h)dd)
nn+
jr.eoD21+jr)nn)
ÈÈ+
ÄÌ.¿ÉD_½39+ÄÌ)ÈÈ)
¾¾+
ºÂ.µ¿DU37+ºÂ)¾¾)
´´+
°¸.«µDK¸35+°¸)´´)
ªª+
¦®.¡«DA33+¦®)ªª)
  +
œ¤.—¡D731+œ¤)  )
––+
’š.—D-29+’š)––)
ŒŒ+
ˆ.ƒD#27+ˆ)ŒŒ)
‚‚+
~†.yƒD´25+~†)‚‚)
xx+
t|.oyD23+t|)xx.ÈÒDh41.ÒÜDr­43+
ÎÖ)ÒÒ+ÎÖ)
ÒÒ)ÜÜ+
Øà)
ÜÜ+Øàæ,1
(
!ñ2
(
!I_3(!\Ë\4(!\ÌP5(!6(!°¼°7(((!¾WÇ8(((!®¾92(2!³÷°102(2!11<(<!QùQ13F(F!Q,©14F(F!Q÷°15P(P!16P(P!™Z17Z(Z!·J°18Z(Z!ĝÄ19d(d!20d(d!21n(n!¯„±22n(n!b23x(x!24x(x!ÅÂ25‚(‚!26‚(‚!®Ç´27Œ(Œ!¯ª28Œ(Œ!YKY29–(–!ªª30–(–!^31 ( !dª33ª(ª!ª€¯34ª(ª!35´(´!<^36´(´!Qi·37¾(¾!P͹38¾(¾!39È(È!40È(È!ªãQ32 ( !12<(<!êd41Ò(Ò!Ða42Ò(Ò!43Ü(Ü!²X¼44Ü(Ü!®«®'ÿÿöÿ0N'ÿÿæ0eJJUMP2X22EJUMP2X3_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X3_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X3_CON.NormalXILINX_P3_FPGA_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB2ÆêL2ÆêLÿÿXILINX_P3_FPGA_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB XILINX_P3_FPGA_TARGETSIDE.NormalXC3S50AN-TQG144A&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäLÿÿXC3S50AN-TQG144A&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBXC3S50AN-TQG144A.Normal
TEMPOBJ_0CJUMP2X4_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X4_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X4_CON.NormalJUMP1C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP1C:\LIBRARY\ORCAD9X\JUMP.OLBJUMP1.NormalXC3S50AN-TQG144B&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäLÿÿXC3S50AN-TQG144B&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBXC3S50AN-TQG144B.Normal
TEMPOBJ_0DMCP6001T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLB†”"D†”"DÿÿMCP6001T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLBMCP6001T-I/OTG.NormalAT25DF0xxA-SSHD:\MIHO\MLAB_FPGA\S3AN01A.OLB„=M„=MÿÿAT25DF0xxA-SSHD:\MIHO\MLAB_FPGA\S3AN01A.OLBAT25DF0xxA-SSH.NormalDB15F_3L_90JUMP2C:\LIBRARY\ORCAD9X\JUMP.OLB ±{@ ±{@ÿÿJUMP2C:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2.NormalXC3S50AN-TQG144C&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäLÿÿXC3S50AN-TQG144C&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBXC3S50AN-TQG144C.Normal
TEMPOBJ_0EAT45DBxxxD-SH-BD:\MIHO\MLAB_FPGA\S3AN01A.OLB‚LM‚LMÿÿAT45DBxxxD-SH-BD:\MIHO\MLAB_FPGA\S3AN01A.OLBAT45DBxxxD-SH-B.NormalTPSC:\LIBRARY\ORCAD9X\PAD.OLB¬›@¬›@ÿÿTPSC:\LIBRARY\ORCAD9X\PAD.OLB
TPS.Normal
LM1086S_TO263C:\LIBRARY\ORCAD9X\POWER.OLB"t@"t@ÿÿ
LM1086S_TO263C:\LIBRARY\ORCAD9X\POWER.OLBLM1086S_TO263.NormalMINIDIN6_PS2C:\LIBRARY\ORCAD9X\COM_CON.OLBVq@Vq@ÿÿMINIDIN6_PS2C:\LIBRARY\ORCAD9X\COM_CON.OLBMINIDIN6_PS2.NormalFIDUC:\LIBRARY\ORCAD9X\SYMBOLS.OLBé8>é8>ÿÿFIDUC:\LIBRARY\ORCAD9X\SYMBOLS.OLBFIDU.NormalXC3S50AN-TQG144D&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäLÿÿXC3S50AN-TQG144D&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBXC3S50AN-TQG144D.NormalXC3S50AN-TQG144E&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBrÞäLrÞäLÿÿXC3S50AN-TQG144E&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBXC3S50AN-TQG144E.NormalJUMP4C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP4C:\LIBRARY\ORCAD9X\JUMP.OLBJUMP4.NormalJUMP2X20_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X20_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X20_CON.NormalJUMP2X8_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X8_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X8_CON.Normal4LED7_12PINC:\LIBRARY\ORCAD9X\COM_OPTO.OLBÔ"MÔ"Mÿÿ4LED7_12PINC:\LIBRARY\ORCAD9X\COM_OPTO.OLB4LED7_12PIN.Normal    SATA_DATAC:\LIBRARY\ORCAD9X\COM_CON.OLB¨íL¨íLÿÿ       SATA_DATAC:\LIBRARY\ORCAD9X\COM_CON.OLBSATA_DATA.NormalBC856SMDC:\LIBRARY\ORCAD9X\COM_T.OLBv=@v=@ÿÿBC856SMDC:\LIBRARY\ORCAD9X\COM_T.OLBBC856SMD.NormalJUMP2X10_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X10_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X10_CON.Normal TEMPOBJ_0
DB15F_3L_0D:\MIHO\MLAB_FPGA\S3AN01A.DSNp½æLp½æLÿÿ
DB15F_3L_0D:\MIHO\MLAB_FPGA\S3AN01A.DSNDB15F_3L_0.NormalSST25LF040A-33-4CD:\MIHO\MLAB_FPGA\S3AN01A.OLB|TM|TMÿÿSST25LF040A-33-4CD:\MIHO\MLAB_FPGA\S3AN01A.OLBSST25LF040A-33-4C.NormalJUMP2X22_CONC:\LIBRARY\ORCAD9X\JUMP.OLBMMÿÿJUMP2X22_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X22_CON.NormalSN74LVC16244ADLC:\LIBRARY\ORCAD9X\TI\GATES.OLB˜&#M˜&#MÿÿSN74LVC16244ADLC:\LIBRARY\ORCAD9X\TI\GATES.OLBSN74LVC16244ADL.NormalJUMP2X12_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X12_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X12_CON.NormalXILINX_P3_FPGA_PGMSIDEMCP6546T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLB^•"D^•"DÿÿMCP6546T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLBMCP6546T-I/OTG.NormalJUMP9    2N7000SMDJUMP2X2C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X2C:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X2.NormalLM1117DTX_TO252C:\LIBRARY\ORCAD9X\POWER.OLBF"t@F"t@ÿÿLM1117DTX_TO252C:\LIBRARY\ORCAD9X\POWER.OLBLM1117DTX_TO252.NormalLED3mmC:\LIBRARY\ORCAD9X\COM_OPTO.OLBʈv@ʈv@ÿÿLED3mmC:\LIBRARY\ORCAD9X\COM_OPTO.OLB
LED3mm.NormalR0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBZ@8>Z@8>ÿÿR0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBR0805.NormalJUMP2X3C:\LIBRARY\ORCAD9X\JUMP.OLB ±{@ ±{@ÿÿJUMP2X3C:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X3.NormalJUMP2X4C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X4C:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X4.NormalAT45DBxxxD-SSH-BD:\MLAB_FPGA\S3AN01A.OLBÊêLÊêLÿÿAT45DBxxxD-SSH-BD:\MLAB_FPGA\S3AN01A.OLBAT45DBxxxD-SSH-B.NormalDIPSW8C:\LIBRARY\ORCAD9X\DIPSW.OLBD¡:>D¡:>ÿÿDIPSW8C:\LIBRARY\ORCAD9X\DIPSW.OLB
DIPSW8.Normal        R-4B-1205C:\LIBRARY\ORCAD9X\COM_RLC.OLB6c@6c@ÿÿ   R-4B-1205C:\LIBRARY\ORCAD9X\COM_RLC.OLBR-4B-1205.Normal       XC3S50ANAJUMP2X16_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP2X16_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X16_CON.NormalC0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBZ@8>Z@8>ÿÿC0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBC0805.Normal        XC3S50ANBJUMP20C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP20C:\LIBRARY\ORCAD9X\JUMP.OLB
JUMP20.Normal
FIDU_PASTEC:\LIBRARY\ORCAD9X\SYMBOLS.OLBF܆CF܆Cÿÿ
FIDU_PASTEC:\LIBRARY\ORCAD9X\SYMBOLS.OLBFIDU_PASTE.NormalHOLE_M3C:\LIBRARY\ORCAD9X\PAD.OLB®›@®›@ÿÿHOLE_M3C:\LIBRARY\ORCAD9X\PAD.OLBHOLE_M3.Normal       XC3S50ANCJUMP10C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JÿÿJUMP10C:\LIBRARY\ORCAD9X\JUMP.OLB
JUMP10.Normal1N5820C:\LIBRARY\ORCAD9X\COM_D.OLBVŽ@VŽ@ÿÿ1N5820C:\LIBRARY\ORCAD9X\COM_D.OLB
1N5820.NormalXILINX_P3_JTAG_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB`ÆêL`ÆêLÿÿXILINX_P3_JTAG_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB XILINX_P3_JTAG_TARGETSIDE.Normal       XC3S50ANDJUMP22C:\LIBRARY\ORCAD9X\JUMP.OLBà|%Mà|%MÿÿJUMP22C:\LIBRARY\ORCAD9X\JUMP.OLB
JUMP22.NormalC-ELYTBC:\LIBRARY\ORCAD9X\COM_RLC.OLB6c@6c@ÿÿC-ELYTBC:\LIBRARY\ORCAD9X\COM_RLC.OLBC-ELYTB.Normal
TEMPOBJ_0A  XC3S50ANEBS170SMDC:\LIBRARY\ORCAD9X\COM_T.OLB?@?@ÿÿBS170SMDC:\LIBRARY\ORCAD9X\COM_T.OLBBS170SMD.NormalPUSH050x050C:\LIBRARY\ORCAD9X\COM_SW.OLBÊ¡(@Ê¡(@ÿÿPUSH050x050C:\LIBRARY\ORCAD9X\COM_SW.OLBPUSH050x050.NormalJUMP2X9SG8002C:\LIBRARY\ORCAD9X\COM_XTAL.OLBZm@Zm@ÿÿSG8002C:\LIBRARY\ORCAD9X\COM_XTAL.OLB
SG8002.Normal
TEMPOBJ_0BJUMP2X2_CONC:\LIBRARY\ORCAD9X\JUMP.OLBdMdMÿÿJUMP2X2_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJUMP2X2_CON.Normal8JUMP2X3_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X3_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X3 ÿÿJUMP2X3_CON123456XILINX_P3_FPGA_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB2ÆêL2ÆêLXILINX_P3_FPGA_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLBJJUMP9_X4_X5_X9 ÿÿXILINX_P3_FPGA_TARGETSIDE123678JUMP2X4_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X4_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X4 ÿÿJUMP2X4_CON12345678JUMP1C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP1C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP1 ÿÿJUMP11MCP6001T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLB†”"D†”"DMCP6001T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLBUSOT23-5 ÿÿMCP6001T-I/OTG14325AT25DF0xxA-SSHD:\MIHO\MLAB_FPGA\S3AN01A.OLB„=M„=MAT25DF0xxA-SSHD:\MIHO\MLAB_FPGA\S3AN01A.OLBUSO8_150 ÿÿAT25DF0xxA-SSH12345678DB15F_3L_90JUMP2C:\LIBRARY\ORCAD9X\JUMP.OLB ±{@ ±{@JUMP2C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2 ÿÿJUMP212AT45DBxxxD-SH-BD:\MIHO\MLAB_FPGA\S3AN01A.OLB‚LM‚LMAT45DBxxxD-SH-BD:\MIHO\MLAB_FPGA\S3AN01A.OLBUSO8_210 ÿÿAT45DBxxxD-SH-B12345678TPSC:\LIBRARY\ORCAD9X\PAD.OLB¬›@¬›@TPSC:\LIBRARY\ORCAD9X\PAD.OLBTPSTPS ÿÿTPS
LM1086S_TO263C:\LIBRARY\ORCAD9X\POWER.OLB"t@"t@
LM1086S_TO263C:\LIBRARY\ORCAD9X\POWER.OLBUTO263 ÿÿ
LM1086S_TO2632413MINIDIN6_PS2C:\LIBRARY\ORCAD9X\COM_CON.OLBVq@Vq@MINIDIN6_PS2C:\LIBRARY\ORCAD9X\COM_CON.OLBJMINIDIN6 ÿÿMINIDIN6_PS2123564FIDUC:\LIBRARY\ORCAD9X\SYMBOLS.OLBé8>é8>FIDUC:\LIBRARY\ORCAD9X\SYMBOLS.OLBMFIDU ÿÿFIDUÿJUMP4C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP4C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP4 ÿÿJUMP41234JUMP2X20_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X20_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X20 ÿÿJUMP2X20_CON(12345678910111314151617181920212223242526272829303133343536373839403212JUMP2X8_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X8_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X8 ÿÿJUMP2X8_CON123456789101113141516124LED7_12PINC:\LIBRARY\ORCAD9X\COM_OPTO.OLBÔ"MÔ"M4LED7_12PINC:\LIBRARY\ORCAD9X\COM_OPTO.OLBU4LED7_12PIN_14_2 ÿÿ4LED7_12PIN117421105312986        SATA_DATAC:\LIBRARY\ORCAD9X\COM_CON.OLB¨íL¨íL SATA_DATAC:\LIBRARY\ORCAD9X\COM_CON.OLBJ     SATA_DATA ÿÿ   SATA_DATA     123456789BC856SMDC:\LIBRARY\ORCAD9X\COM_T.OLBv=@v=@BC856SMDC:\LIBRARY\ORCAD9X\COM_T.OLBQSOT23 ÿÿBC856SMDCBEJUMP2X10_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X10_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X10 ÿÿJUMP2X10_CON1234567891011131415161718192012
DB15F_3L_0D:\MIHO\MLAB_FPGA\S3AN01A.DSNp½æLp½æL
DB15F_3L_0D:\MIHO\MLAB_FPGA\S3AN01A.DSNJDB15F_3L_90 ÿÿ
DB15F_3L_01234678910111213141516175     TEMPOBJ_0SST25LF040A-33-4CD:\MIHO\MLAB_FPGA\S3AN01A.OLB|TM|TMSST25LF040A-33-4CD:\MIHO\MLAB_FPGA\S3AN01A.OLBUSO8_210 ÿÿSST25LF040A-33-4C12345678JUMP2X22_CONC:\LIBRARY\ORCAD9X\JUMP.OLBMMJUMP2X22_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X22 ÿÿJUMP2X22_CON,1234567891011131415161718192021222324252627282930313334353637383940321241424344SN74LVC16244ADLC:\LIBRARY\ORCAD9X\TI\GATES.OLB˜&#M˜&#MSN74LVC16244ADLC:\LIBRARY\ORCAD9X\TI\GATES.OLBU
SSOIII_48_300 ÿÿSN74LVC16244ADL0123456789101112131415161718192021222324252627282930313233343536373839404142434445464748JUMP2X12_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X12_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X12 ÿÿJUMP2X12_CON123456789101113141516171819202122232412XILINX_P3_FPGA_PGMSIDEMCP6546T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLB^•"D^•"DMCP6546T-I/OTGC:\LIBRARY\ORCAD9X\AMP.OLBUSOT23-5 ÿÿMCP6546T-I/OTG14325JUMP9    2N7000SMDJUMP2X2C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X2C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X2 ÿÿJUMP2X21234LM1117DTX_TO252C:\LIBRARY\ORCAD9X\POWER.OLBF"t@F"t@LM1117DTX_TO252C:\LIBRARY\ORCAD9X\POWER.OLBUTO252 ÿÿLM1117DTX_TO252231LED3mmC:\LIBRARY\ORCAD9X\COM_OPTO.OLBʈv@ʈv@LED3mmC:\LIBRARY\ORCAD9X\COM_OPTO.OLBDLED3 ÿÿLED3mmACR0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBZ@8>Z@8>R0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBRR0805 ÿÿR080512JUMP2X3C:\LIBRARY\ORCAD9X\JUMP.OLB ±{@ ±{@JUMP2X3C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X3 ÿÿJUMP2X3123456XC3S50ANJUMP2X4C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X4C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X4 ÿÿJUMP2X412345678AT45DBxxxD-SSH-BD:\MLAB_FPGA\S3AN01A.OLBÊêLÊêLAT45DBxxxD-SSH-BD:\MLAB_FPGA\S3AN01A.OLBUSO8_150 ÿÿAT45DBxxxD-SSH-B12345678DIPSW8C:\LIBRARY\ORCAD9X\DIPSW.OLBD¡:>D¡:>DIPSW8C:\LIBRARY\ORCAD9X\DIPSW.OLBSWDIPSW8 ÿÿDIPSW812345678910111213141516      R-4B-1205C:\LIBRARY\ORCAD9X\COM_RLC.OLB6c@6c@   R-4B-1205C:\LIBRARY\ORCAD9X\COM_RLC.OLBR     R-4B-1205 ÿÿ   R-4B-120512345678JUMP2X16_CONC:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP2X16_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X16 ÿÿJUMP2X16_CON 1234567891011131415161718192021222324252627282930313212C0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBZ@8>Z@8>C0805C:\LIBRARY\ORCAD9X\COM_RLC.OLBCC0805 ÿÿC080512JUMP20C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP20C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP20 ÿÿJUMP201234567891011121314151617181920
FIDU_PASTEC:\LIBRARY\ORCAD9X\SYMBOLS.OLBF܆CF܆C
FIDU_PASTEC:\LIBRARY\ORCAD9X\SYMBOLS.OLBM
FIDU_PASTE ÿÿ
FIDU_PASTEÿHOLE_M3C:\LIBRARY\ORCAD9X\PAD.OLB®›@®›@HOLE_M3C:\LIBRARY\ORCAD9X\PAD.OLBMHOLE_M3 ÿÿHOLE_M31XC3S50AN-TQG144&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBtÞäLtÞäLXC3S50AN-TQG144&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBUTQFP144 ÿÿAXC3S50AN-TQG144A1291722263436525665667374818994100106107108109118122128133137144 ÿÿBXC3S50AN-TQG144B119136110111112113115117114116120121124126125127129131130132134135138139141143140142123 ÿÿCXC3S50AN-TQG144C86957678757782848385878890929193969899101102104103105798097 ÿÿDXC3S50AN-TQG144D 4061373839414244434546484749505154555759586063646768697170725362 ÿÿEXC3S50AN-TQG144E46357810111213151618201921242527292830313233351423JUMP10C:\LIBRARY\ORCAD9X\JUMP.OLB¦J¦JJUMP10C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP10 ÿÿJUMP10
123456789101N5820C:\LIBRARY\ORCAD9X\COM_D.OLBVŽ@VŽ@1N5820C:\LIBRARY\ORCAD9X\COM_D.OLBDDO201 ÿÿ1N5820ACXILINX_P3_JTAG_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLB`ÆêL`ÆêLXILINX_P3_JTAG_TARGETSIDE*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLBJJUMP9_X3_X5_X8 ÿÿXILINX_P3_JTAG_TARGETSIDE124679JUMP22C:\LIBRARY\ORCAD9X\JUMP.OLBà|%Mà|%MJUMP22C:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP22 ÿÿJUMP2212345678910111213141516171819202122C-ELYTBC:\LIBRARY\ORCAD9X\COM_RLC.OLB6c@6c@C-ELYTBC:\LIBRARY\ORCAD9X\COM_RLC.OLBCELYTB ÿÿC-ELYTBACBS170SMDC:\LIBRARY\ORCAD9X\COM_T.OLB?@?@BS170SMDC:\LIBRARY\ORCAD9X\COM_T.OLBQSOT23 ÿÿBS170SMDDGSPUSH050x050C:\LIBRARY\ORCAD9X\COM_SW.OLBÊ¡(@Ê¡(@PUSH050x050C:\LIBRARY\ORCAD9X\COM_SW.OLBSWPUSH050x050 ÿÿPUSH050x05012JUMP2X9SG8002C:\LIBRARY\ORCAD9X\COM_XTAL.OLBZm@Zm@SG8002C:\LIBRARY\ORCAD9X\COM_XTAL.OLBUSG8002 ÿÿSG80021234JUMP2X2_CONC:\LIBRARY\ORCAD9X\JUMP.OLBdMdMJUMP2X2_CONC:\LIBRARY\ORCAD9X\JUMP.OLBJJUMP2X2 ÿÿJUMP2X2_CON1234Views° þkÞøË    mÞøËSCHEMATIC1ÿÿÿÿÿÿÿÿ°  þkÞøË VmÞøËPagesÿÿÿÿÿÿÿÿ   °       þkÞøË0*ýlÞøËPAGE1 - Basic Info&ÿÿÿÿÿÿÿÿÿÿÿÿ‹ 

9 !"#$%&'()*+,-./01þÿÿÿþÿÿÿþÿÿÿþÿÿÿþÿÿÿþÿÿÿþÿÿÿþÿÿÿ:;<=>?@ABCDþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ
PAGE1 - Basic InfoA3ï‚M÷£M h(ˆì    ì    ì    00A1
Lš˜@_
TITLE_MLAB—îZhù#0A'ÿÿ
¾<0Ê'ÿÿJ<0'ÿÿ
<0_'ÿÿðP0'ÿÿ,P0'ÿÿ
(P0 'ÿÿ(<0a'ÿÿ"
0@        =ó™î0=0.Z<ÒTZ<
&'S3AN01B=ó›îZÒ0=D0.Z҆âZÒ&'1.00=ó£îªÒ0=D0.ªÒ†âªÒBJUpdated from S3AN01A=óùZn0=0.ZnŒ†ZnBJXILINX Spartan 3AN=ó-ùZ–0=0.Z–Œ®Z–FPGA  School Board=ó®0ÿÿ
DB15F_3L_0DB15F_3L_0.Normal DB15F_3L_0PAGE4 - Bank 1 Port(ÿÿÿÿÿÿÿÿÅù»PAGE2 - Config and Bank 24
ÿÿÿÿ#FšPAGE3 - Bank 0 and 3 and ADDA<ÿÿÿÿÿÿÿÿr5VPAGE5 - Display and Connectors>ÿÿÿÿÿÿÿÿÿÿÿÿžܕ     

 !"þÿÿÿ$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopþÿÿÿýÿÿÿstuvwxyz{|}~€
PAGE4 - Bank 1 PortA3%M÷£M h(ˆì    ì    ì    00A1Ñ
Lš˜@_
TITLE_MLAB#FZhù#0AG'ÿÿ
¾<0'ÿÿJ<0'ÿÿ
<02'ÿÿðP0_'ÿÿ,P01'ÿÿ
(P0'ÿÿ(<0a'ÿÿ"
0@M£äÁäˆççç”ç˜çç ç¥çwx€‚‰’™›¢¥ª¯µ¹¾ÄÊÍÒÙÝäçëó÷üÿ•—šœŸ¢¦©«®úý        !$'*-0369<?à0MIP10¥IP11ªIP12¯IP13µIP14¹IP15¾IP16ÄIP17ÊIP18ÍIP19ÒGNDÁäIP20ÙIP21ÝX22®X23«X24©X25¦X26¢X27ŸX28œX29šX30—X31•IP0wIP1xIP2€IP3‚IP4‰IP5IP6’IP7™IP8›IP9¢VDD_3V3£äIX22äIX23çIX24ëIX25óIX26÷IX27üIX28ÿIX29IX30IX31P10P11P12P13!P14$P15'P16*P17-P180P193P206P219P22<P23?N0ˆçN1çN2çN3”çN4˜çN5çN6 çN7¥çP0úP1P2ýP3P4P5        P6P7P8P9 VDD_BANK1à0DQ£ä0àôàþ`Q‚0@®r®hQÄ0Ìl˜Q0¤rÌr¸Q£ä0àþàÒQ£ä0¢à¶àÖQ*0¢ÌÊÌêQç0nnJn1ÿÿŒm0Y=N1R£ä0tþt&R£ä0BþB8RÄ0ÌpÌ\R£ä0šÒ®ÒpRç0JhrhtR$0¤ÌˆRÝ04†4ž R0¤ÌÀR00¢¸Ê¸ÄR™0rrrÈR¯0ærÞRÁä0¼ܼæâRÁä0\êpêòR¢0J&úR£ä0ÞþþSÁä0(><>"S ç0² R 1ÿÿП0Y=N6BSÊ0ÂpÂNSÒ0 ® ŠRS0¤TÌTrSÝ0‚ž4ž1ÿÿ–0Y=IP21vS”ç0^ðrðŠS30¢®Ê®ŽS›0TšSú0¤ÌÌÌžS£ä0¤ê¸êªS¹0ÒÒX²Sˆç0@¤r¤¶S™0rÂS£ä0®<®näSý0¤¸Ì¸øSÊ0ÂvTx0TÂrÂZT60¢ÊnT0¤ÂÌÂÜT   0¤†Ì†äTª0ð6ð:U90¢†Ê†*U¥ç0\ðpð6UÁä00::U0¤Ì^U£ä0BþtþfU£ä0vþv U0¤|Ì|¤U¾0Èúrú°U0¤@Ì@ÐU ç0R,p,äU0¤6Ì6èU£ä0þVÁä0\\&V0¤®Ì®RV’0"|"VV!0¤ÌZV£ä0¤þ¸þlVÙ0**”V£ä0¶ê¶ô”V£ä0ÞþÞœV¥0ú@ú0¨Vç0HhphÆVÁä0^&^:æVµ0ÜÜNW‰066ô"W'0¤úÌú:WÁä0nFxFSç0n T 1ÿÿŒŸ0Y=N2JW›0TrT^W˜ç0>¤p¤bW£ä0þjW¾0ÈúÈb‚WÁä0\àpàŽWÍ0¸€’W£ä0DþD¢Wª0ð6r6¦W0¤JÌJ¼WÁä0^à^êÌW-0¢ÂÊÂÐWç0T,r,äWÁä0( < XÝ04†p†X€0J¸r¸X¹0ÒràSˆç0n<@<1ÿÿŒ;0Y=N0^_ˆç0@<@¤f_ç0JnJhh_ç0Jnrnn_ç0T T,p_ç0T r v_”ç0^Ò^ð”Q”ç0nÒ^Ò1ÿÿŒÑ0Y=N3i˜ç0><>¤¬T˜ç0²<><1ÿÿÐ;0Y=N4iç0HnHhÊQç0²nHn1ÿÿÐm0Y=N5i ç0R R,#i¥ç0\Ò\ð¼R¥ç0²Ò\Ò1ÿÿÐÑ0Y=N7×jä0‚øøø1ÿÿ–÷0Y=IX22Újä0ø|øøÜjä0ø|p|ájç0îrpräjç0îrîæjç0‚î1ÿÿ–0Y=IX23ëjë0‚ä1ÿÿ–0Y=IX24îjë0äTäðjë0äTpTõjó0ÚJpJøjó0ÚJÚújó0‚Ú1ÿÿ–0Y=IX25ÿj÷0‚ Ð 1ÿÿ–0Y=IX26k÷0Ð@Ð k÷0Ð@p@       kü0Æ6p6kü0Æ6Æ*kü0‚*Æ*1ÿÿ–)0Y=IX27kÿ0‚4¼41ÿÿ–30Y=IX28kÿ0¼¼4kÿ0¼pk0²p k0²²>"k0‚>²>1ÿÿ–=0Y=IX29'k0‚H¨H1ÿÿ–G0Y=IX30*k0¨¨H,k0¨p1k0žúpú4k0žúžR6k0‚RžR1ÿÿ–Q0Y=IX31°V¾0‚bÈb1ÿÿ–a0Y=IP15*WÙ0*púQ¯0‚DæD1ÿÿ–C0Y=IP12VUÁä0(:(DRUÙ0‚”*”1ÿÿ–“0IP20@Rª0‚:ð:1ÿÿ–90yIP11TRÁä0(”<”WÁä0(ê<êœUÁä0(à<àQÍ0¸p¸
WÄ0‚ll1ÿÿ–k0IP16^SÁä0(D(NôW¹0‚XÒX1ÿÿ–W0IP14öUµ0‚NÜN1ÿÿ–M0IP13bS0‚þ,þ1ÿÿ–ý0IP5ØU€0J¸JàÐS’0‚"1ÿÿ–0IP6°YÁä0(ž(¨êR‰06rpVÍ0‚€€1ÿÿ–0‚IP18ZWÁä0(ø<øU‰0‚ô6ô1ÿÿ–ó0wIP4ÔUÁä0\ô\þ<R0,†,þÈTÊ0‚vv1ÿÿ–u0iIP17œQ¥0‚0ú01ÿÿ–/0zIP10°Tµ0ÜrzW’0"|r|âQÁä0(v(€VWÁä0(<‚Tx0‚ÖTÖ1ÿÿ–Õ0IP1.S‚0@®@ê”RÁä0(R<RÔW‚0‚ê@ê1ÿÿ–é0yIP3jTÁä0(ô<ôìSÁä0(þ(fS¢0‚&&1ÿÿ–%0xIP9&W›0‚1ÿÿ–0mIP8ÈWÁä0²x¼xXRÒ0 ®p®
R¢0JrJ>T™0‚1ÿÿ–0xIP7Tw0‚ÌrÌ1ÿÿ–Ë0{IP0ÚQ¥0ú@r@*Vx0TÂTÖðW0,†r†TÒ0‚Š Š1ÿÿ–‰0{IP19îR€0‚àJà1ÿÿ–ß0IP2rˆç0@<r<r”ç0^ÒrÒ´r˜ç0><p<¶rç0Hnpn¸r ç0R p ºr¥ç0\ÒpÒnu•0¢ú8ú1ÿÿù0Y=X31qu—0¢¬tu—0¬ð¬vu—0¬ð8ð1ÿÿï0Y=X30{uš0¢¶~uš0¶æ¶€uš0¶æ8æ1ÿÿå0Y=X29…uœ0¢Àˆuœ0ÀÜÀŠuœ0ÀÜ8Ü1ÿÿÛ0Y=X28uŸ0¢6Ê6’uŸ0ÊÒÊ6”uŸ0ÊÒ8Ò1ÿÿÑ0Y=X27™u¢0¢@Ô@œu¢0ÔÈÔ@žu¢0ÔÈ8È1ÿÿÇ0Y=X26£u¦0¢JÞJ¦u¦0Þ¾ÞJ¨u¦0Þ¾8¾1ÿÿ½0Y=X25­u©0¢TèT°u©0è´èT²u©0è´8´1ÿÿ³0Y=X24·u«0¢ròrºu«0òªòr¼u«0òª8ª1ÿÿ©0Y=X23Áu®0¢|ü|Äu®0ü ü|Æu®0ü 8 1ÿÿ 0X22&S£ä0¬n¬ R£ä0˜n¬nW£ä0˜Ò¬Ò.W£ä0šn®nöV£ä0¬2¬<*R£ä0¸ô¸þU£ä0˜ ¬ zU£ä0¤ô¸ôøT£ä0®n® *S£ä0®2®<ØS£ä0þBþ†U£ä0˜<¬<rU£ä0¬<¬nÌT£ä0š ® @Q£ä0¶ô¶þˆV£ä0ÞôÞþüS£ä0þDþŽT£ä0¢ê¶êVT£ä0¢þ¶þvU£ä0¢ô¶ôÄW£ä0¬ ¬ÒV£ä0® ®ÒW£ä0¸þàþèS£ä0àþþÌS£ä0¸à¸êŒR£ä0¸ê¸ô„R£ä0¶à¶ê.U£ä0¶þÞþnW£ä0¤à¸à€V£ä0Dþvþ¬V£ä0š<®<èá<0ÜTTSÝ0ÜÈúÈkÝ60Ü6ú6EÝ0Üxúx¶Ûà00dxa]à00x2xKÝ0Ü ú E]à00
x
‚iÝ00Ü,ú,l¯?0Ü^ð^cÝ!0Üúúú[Ý-0Ü"ú"x¯?0ðhhŸÚà00Øx
x1ÿÿâw0    VDD_BANK1QÝ0ܾú¾Y]à00
xx¾Ú£ä0Ø<ØFWÝ0ܪúªs¯?0Ühðh]]Áä0تشMÝ        0Ü´ú´IÝ0Ü‚ú‚gÝ30Ü@ú@ÑÚà00ØnØxUÝ0ÜÒúÒaÝ*0Üú]Ý$0ÜúM]à00x‚OÝ0ÜðúðCÝú0ÜŒúŒmÝ90ÜJúJGÝý0Ü–ú–q]à00‚2‚_Ý'0Üúo¯?0ð^ðhYÝ0ÜÜúÜy]à00ØxØ‚eÝ0ÜæúæÁä0,,ӂÁä0^^æƒÁä0û„Áä0†Áä0ôô*‡Áä0&&DˆÁä0XXa‰Áä0ŠŠï‰Ä0,Ô,Þò‰Ä0Ô,Ô1ÿÿÓ0Y=IP16!‹w0,z,„$‹w0z,z1ÿÿy0lIP0(‹x0^z^„*‹x0@z^z1ÿÿ@y0Y=IP1.‹€0rzz1ÿÿry0Y=IP20‹€0z„4‹‚0Âz„6‹‚0¤zÂz1ÿÿ¤y0IP38‹0&z&„:‹‰0Özôz1ÿÿÖy0Y=IP4<‹0z&z1ÿÿy0IP5>‹‰0ôzô„F‹™0lzŠz1ÿÿly0IP7H‹’0:zXz1ÿÿ:y0IP6J‹’0XzX„L‹ç0ŠÔŠÞN‹ç0lÔŠÔ1ÿÿlÓ0Y=IX23P‹™0ŠzŠ„X‹Í0rԐÔ1ÿÿrÓ0Y=IP18Z‹Ê0^Ô^Þ\‹Í0ÔÞ^‹Ê0@Ô^Ô1ÿÿ@Ó0Y=IP17`‹Ò0¤ÔÂÔ1ÿÿ¤Ó0IP19b‹Ò0ÂÔÂÞj‹Ù0ôÔôÞl‹ä0XÔXÞn‹Ý0&Ô&Þp‹Ý0Ô&Ô1ÿÿÓ0Y=IP21r‹ä0:ÔXÔ1ÿÿ:Ó0Y=IX22t‹Ù0ÖÔôÔ1ÿÿÖÓ0Y=IP20]Ž¢0îzî„_ŽÁä0 ¬ ¶uŽª0RzR„wŽ›0žz¼z1ÿÿžy0ƒIP8yŽÁä0¬¶{ŽÁä0¼¬¼¶}Žµ0˜z¶z1ÿÿ˜y0Y=IP13ŽÁä0¶¬¶¶Ž›0¼z¼„Ž¹0èz脏ŽÁä0„¬„¶‘Ž¥0 z „“ŽÁä0î¬î¶•Ž¥0z z1ÿÿy0IP10—ŽÁä0è¬è¶™Žª04zRz1ÿÿ4y0IP11›Ž¾0üzz1ÿÿüy0IP15Žµ0¶z¶„ŸŽ¢0Ðzîz1ÿÿÐy0Y=IP9¥Ž¹0Êzèz1ÿÿÊy0IP14«Ž¯0„z„„­ŽÁä0R¬R¶³Ž¾0z„Áä0&¬&¶Áä0,¬,¶ Áä0¦Áä0¬¶Áä0X¬X¶Áä0ô¬ô¶!Áä0^¬^¶#Áä0Š¬Š¶áó0îÔîÞãÁä0  ûë0žÔ¼Ô1ÿÿžÓ0IX24ýÁä0ÿÁä0¼¼‘0˜Ô¶Ô1ÿÿ˜Ó0IX29‘Áä0¶¶‘ë0¼Ô¼Þ‘ÿ0fÔ„Ô1ÿÿfÓ0Y=IX28‘0èÔèÞ‘Áä0„„‘÷0 Ô Þ‘Áä0îî‘÷0Ô Ô1ÿÿÓ0IX26‘Áä0èè‘ü04ÔRÔ1ÿÿ4Ó0Y=IX27‘0üÔÔ1ÿÿüÓ0Y=IX31!‘0¶Ô¶Þ#‘ó0ÐÔîÔ1ÿÿÐÓ0IX25)‘0ÊÔèÔ1ÿÿÊÓ0IX30/‘ÿ0„Ô„Þ1‘Áä0RR7‘0ÔÞTÁä0\&p&I]Áä0
´ÔTÁä0(Ì(ÖfWÁä0Þ0Þ:UÁä0(H<HFVÁä0²F¼F˜VÁä0^ô^þºSÁä0:B:lQÁä0xªxÜVÁä0(<jSÁä0(<VÁä0D:v:¸YÁä0((FSÁä0(b<bÀTÁä0²ª¼ªTÁä0nxxx´VÁä0^r\Q¯0ææD‹Ž¯0fz„z1ÿÿfy020IP12|QÁä0\&\:–TÁä0Þ::.RÁä0nªxª¼QÁä0^þ^>WÁä0^^^VÁä0^^HQÁä0^rrTÁä0à::SÁä0B:t:~UÁä0à0à:ÔSÁä0t0t:.QÁä0^r´UÁä0^^&U]Áä0شؾæRÁä0\ôpôŠTÁä0((BWÁä0xxxªFUÁä0^àràØTÁä0\\’SÁä0(v<vŒQÁä0(Ö<Ö¨UÁä0^ê^ô„QÁä0(Š(”ŠWÁä0(>(H´YÁä0(Ö(à&UÁä0(ê(ôvTÁä0xFxxtQÁä0(Ì<ÌâVÁä0¼ª¼ÜbUÁä0:D:‚SÁä0(<WÁä0(( "RÁä0(N(X€RÁä0(:<:lRÁä0(ž<žžTÁä0à:àD¶YÁä0((&JSÁä0v0v:öQÁä0²ܼܺYÁä0(R(\†TÁä0(D<D,TÁä0((ôTÁä0\pÞVÁä0(b(lÒVÁä0(€(ŠRÁä0^þrþÊVÁä0^&r&²YÁä0(”(žXQÁä0(<
VÁä0(Š<ŠþUÁä0(X(bZSÁä0((œRÁä0D0D:WÁä0(4(>~SÁä0( (*RÁä0(þ<þRÁä0^êrêžWÁä0\p|RÁä0(N<NZUÁä0(0<0´RÁä0(*<*ÂVÁä0(&(0nSÁä0(&<&<QÁä0(X<X>SÁä0(<RÁä0(l(v¬UÁä0\þ\ÖVÁä0\ê\ô*QÁä0¼F¼xÚVÁä0(*(42VÁä0(4<4WÁä0\p¸RÁä0(€<€RTÁä0(ø(:VÁä0^ôrô0TÁä0\\&A]Áä0Ø´
´JVÁä0\þpþ´QÁä0(H(Rùü0RÔRÞ"VÁä0(l<lxQÁä0\à\êàUÁä0xÜxæ†SÁä0¼x¼ªTÁä0nÜxÜpQÁä0(0(:üWÁä0(ô(þVÁä0(à(ê˜RÁä0B0B:6WÁä00:¨QÁä0Þ:ÞD}ÿ!0æ0ú0oÿ0æú“ÿ60ævúv™ÿ<0æ¨ú¨iÿ0æôúô‰ÿ-0æXúXwÿ0æúcÿ0æÖúÖ‡ÿ*0æNúN›ÿ?0æ²ú²kÿ0æêúêÿ30ælúlKÿú0æ®ú®ÿ00æbúbQÿ0æÌúÌSÿý0æÂú•ÿ90æ€ú€fÿ      0æàúàƒÿ$0æ:ú:Nÿ0æ¸ú¸{ÿ0æ&ú&uÿ0æúÿ'0æDúDqÿ0æþúþF
K8R0805.NormaltVzd0
1'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR25[˜nRpn¶rR0805
8òR0805.Normal"Ž0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R100,¬,„!‹R0805
8òR0805.Normal†Ž0
'ÿÿ0'ÿÿ
0e'ÿÿ0eR102¬„0‹R0805
òSN74LVC16244ADL.NormalÀQ|æ0
'ÿÿJ0'ÿÿT0eU110rðvS¤ú"W¤tRràFU¤VV¤ R¤ànW¤6äU     ¤@°U
rêR¤J¦W¤TRS
¤r˜Q¤| Urô:V¤†ÜT¤:U¤êžS¤®&V¤¸äSrþR¤ÂnT¤ÌšSr¤²SrhpRrÌTrÂTrHQr¸Xr®`Q¤ôzU rêR!r†ðW"r.Q#r|zW$rrÄR%rTJW&rJ
R'r´V(r@ÚQ)r6¢W*¤þZV+rÈR,r°T-r&ÊV.rX/rú¤U0r,ÐWSN74LVC16244ADL
€<òJUMP2.NormalV”–0
'ÿÿìÿ0'ÿÿöÿ0eJ19€² "S²ªÀTJUMP2
8òR0805.Normal¸è0
1'ÿÿ0'ÿÿ
0e'ÿÿ0ûR119Âû„ÂÞb‹R0805
98òC0805.NormalXj0
'ÿÿ0'ÿÿ
0eC31:t0ÔStRC0805
98òC0805.Normal¸U0
'ÿÿ0'ÿÿ
0eC29:06WbWC0805
<ò
JUMP10.NormalöRFî0
'ÿÿöÿìÿ0'ÿÿâÿöÿ0eJ12
<øZW<XQ<‚S<VW< äW<*´R<42V<>S        <HU
<R”RJUMP10
8òR0805.Normal†è0
1'ÿÿ0'ÿÿ
0e'ÿÿ0yR118æƒÞ\‹R0805
8òR0805.Normal‰ŽŽ0
'ÿÿ0'ÿÿ
0e'ÿÿ0yR110 ¬_Ž „‘ŽR0805
8òR0805.Normal琲è0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R124¼ÿ¼Þ‘R0805
€<òJUMP2.NormalðT”È0
'ÿÿìÿ0'ÿÿöÿ0eJ20€²Ò¼R²ÜöQJUMP2
98òC0805.Normal´W0
1'ÿÿ0'ÿÿ
0eC25:06UèUC0805
8òR0805.Normal#"è0
1'ÿÿ0'ÿÿ
0e'ÿÿ0øR116,,Þï‰R0805
òSN74LVC16244ADL.Normal¸Wzæ0
'ÿÿJ0'ÿÿT0eU120pð*U¢únu¢qupà‚W¢{u¢…u¢àÒQ¢6u   ¢@™u
pêâR¢J£u¢T­u
¢r·u¢|ÁupôæR¢†U¢ZT¢êŽT¢®ŠS¢¸ÀRpþJV¢ÂÌW¢ÌÖQp¤^Wph¨VpÌ8RpÂBSpôTp¸Qp®XR¢ôvU p*W!p†X"pW#p|Üj$práj%pTðj&pJõj'pžW(p@k)p6     k*¢þVT+pk,pk-p&T.p,k/pú1k0p,ÐUSN74LVC16244ADL
<òJUMP2X10_CON.NormalþRZî0
'ÿÿìÿ0'ÿÿöÿ0eJ11‚ø×j‚ø×j‚æj‚æj‚ëj‚ëj‚új‚új        ‚ ÿj
‚ ÿj‚*k‚4k
‚4k‚>"k‚>"k‚H'k‚H'k‚R6k‚R6k‚*kJUMP2X10_CON
K8òR0805.Normal’T|–0
'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR22[š ÌTr p_R0805
8òR0805.Normal'Tè0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R117^ӂ^ÞZ‹R0805
8òR0805.Normalïzè0
1'ÿÿ0'ÿÿ
0e'ÿÿ0eR128„‘„Þ/‘R0805
8òR0805.Normal+€Ž0
'ÿÿ0'ÿÿ
0e'ÿÿ0œR107Š¬#Š„P‹R0805
8òR0805.NormalóÞè0
1'ÿÿ0'ÿÿ
0e'ÿÿ0KR130è‘èÞ‘R0805
K8òR0805.NormalüTz–0
1'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR26[˜ Up ¸rR0805
€<òJUMP2.NormalÀWP20
'ÿÿìÿ0'ÿÿöÿ0eJ13€n<àSnF:WJUMP2
€<òJUMP2.Normal.VPÈ0
'ÿÿìÿ0'ÿÿöÿ0eJ16€nҔQnÜTJUMP2
òXC3S50AN-TQG144C.Normalé<<0
1'ÿÿ60'ÿÿ@0'ÿÿ J0'ÿÿT0U1*2xa]2‚q]ÜxEÝÜ‚IÝÜŒCÝÜ–GÝÜ KÝܪWÝ       Ü´MÝ
ܾQÝÜÈSÝÜÒUÝ
ÜÜYÝÜæeÝÜðOÝÜúcÝÜ]ÝÜ_ÝÜaÝÜ"[ÝÜ,iÝÜ6kÝÜ@gÝÜJmÝÜTèáÜ^l¯Ühs¯XC3S50AN-TQG144
8òR0805.Normal/Ž0
'ÿÿ0'ÿÿ
0e'ÿÿ0R105&¬&„8‹R0805
8òR0805.Normal÷äè0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R125î‘îÞáR0805
98òC0805.NormalúVÔ0
'ÿÿ0'ÿÿ
0eC28:Þ0fWÞ”VC0805
8òR0805.Normal3êŽ0
1'ÿÿ0'ÿÿ
0e'ÿÿ0IR104ô¬ô„>‹R0805
98òC0805.NormalDRÖ0
'ÿÿ0'ÿÿ
0eC24:à0~Uà¸QC0805
8òR0805.Normal7NŽ0
'ÿÿ0'ÿÿ
0e'ÿÿ0R106X¬X„J‹R0805
<ò
JUMP22.NormalUFÂ0
'ÿÿöÿìÿ0'ÿÿâÿöÿ0eJ10<ÌtQ<ÖŒQ<àœU<êW<ôjT<þR<>S<jS     <V
<&nS<0ZU<:€R
<D†T<N|R<X<Q<bFS<l"V<v’S<€¸R<Š
V<”TR<žlRJUMP22
<ò
JUMP10.NormalÐuB–0
1'ÿÿöÿìÿ0'ÿÿöÿöÿ0eJ21
8 Æu8ª¼u8´²u8¾¨u8Ȟu8Ҕu8܊u8æ€u    8ðvu
8únuJUMP10
K8òR0805.NormalPR|d0
1'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR21[šn.Wrnh_R0805
K8òR0805.NormalS|20
'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR20[š<¬Vr<rR0805
98òC0805.NormalQ]Œ0
'ÿÿ0'ÿÿ
0eC33:
ªI]
‚E]C0805
8òR0805.Normal
‘è0
'ÿÿ0'ÿÿ
0e'ÿÿ0R126 㐠ޑR0805
€<òJUMP2.NormalîQ”d0
'ÿÿìÿ0'ÿÿöÿ0eJ18€²nÊQ²xÈWJUMP2
8òR0805.NormalKŽŽ0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R115¬yŽ„³ŽR0805
<òJUMP2X22_CON.NormalUZÂ0
'ÿÿ
ìÿ0'ÿÿ
öÿ0eJ9,‚ÌT‚ÌT‚Ö‚T‚Ö‚T‚àîR‚àîR‚êÔW‚êÔW    ‚ôU
‚ôU‚þbS‚ÐS
‚ÐS‚>T‚>T‚&W‚&W‚&fS‚&fS‚0œQ‚0œQ‚:@R‚:@R‚DúQ‚DúQ‚NöU‚NöU‚XôW‚XôW‚b°V‚l
W ‚l
W!‚vÈT"‚vÈT#‚€pV$‚€pV%‚ŠT&‚ŠT'‚b°V(‚þbS)‚”RU*‚”RU+‚žrS,‚žrSJUMP2X22_CON
8òR0805.NormalOŽ¬Ž0
1'ÿÿ0'ÿÿ
0e'ÿÿ0eR113¶¬Ž¶„ŽR0805
8òR0805.NormalSŽHŽ0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R111R¬­ŽR„uŽR0805
€<òJUMP2.Normal¸V”20
'ÿÿìÿ0'ÿÿöÿ0eJ17€²<¬T²FFVJUMP2
8òR0805.Normalï€êè0
1'ÿÿ0'ÿÿ
0e'ÿÿ0pR120ô†ôÞj‹R0805
98òC0805.NormalŠU:0
'ÿÿ0'ÿÿ
0eC26:D0œRD’WC0805
<òJUMP2X22_CON.Normalãô¾¤0
1'ÿÿìÿ0'ÿÿöÿ0eJ23,æ®Kÿæ®Kÿæ¸Nÿæ¸NÿæÂSÿæÂSÿæÌQÿæÌQÿ    æÖcÿ
æÖcÿæàfÿæêkÿ
æêkÿæôiÿæôiÿæþqÿæþqÿæoÿæoÿæuÿæuÿæwÿæwÿæ&{ÿæ&{ÿæ0}ÿæ0}ÿæ:ƒÿæ:ƒÿæDÿæN‡ÿ æN‡ÿ!æX‰ÿ"æX‰ÿ#æbÿ$æbÿ%ælÿ&ælÿ'æDÿ(æàfÿ)æv“ÿ*æv“ÿ+怕ÿ,怕ÿJUMP2X22_CON
K8òR0805.Normal‘ÒÎP0
1'ÿÿ0'ÿÿ
0R28âØnÑÚØF¾ÚR0805
K8òR0805.NormalŽUz20
1'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR24[˜<†Up<´rR0805
98òC0805.NormalbT80
'ÿÿ0'ÿÿ
0eC30:B0˜RB&RC0805
98òC0805.Normal’Ul0
'ÿÿ0Ý'ÿÿ
0eC27:v0JSvfUC0805
8òR0805.NormalcŽ²Ž0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R108¼¬{Ž¼„ŽR0805
8òR0805.Normalÿ€è0
1'ÿÿ0'ÿÿ
0e'ÿÿ0cR121&*‡&Þn‹R0805
K8òR0805.Normal¢S|È0
'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR23[šÒ\RrÒrR0805
8òR0805.NormalkŽzŽ0
'ÿÿ0'ÿÿ
0e'ÿÿ05R112„¬Ž„„«ŽR0805
ã<òJUMP1.Normal´ÛF0
1'ÿÿæÿ0'ÿÿðÿ0eJ22od¶ÛJUMP1
8òR0805.NormalNè0
1'ÿÿ0'ÿÿ
0e'ÿÿ05R122XDˆXÞl‹R0805
€<òJUMP2.Normal¬QPd0
'ÿÿìÿ0'ÿÿöÿ0eJ14€nnêQnxTJUMP2
98òC0805.Normalu]ÎŒ0
1'ÿÿ0'ÿÿ
0C32:ت]]؂y]C0805
8òR0805.NormaloŽÞŽ0
1'ÿÿ0'ÿÿ
0e'ÿÿ0:R114謗Žè„ŽR0805
8òR0805.Normal€è0
1'ÿÿ0'ÿÿ
0e'ÿÿ02R123Ša‰ŠÞL‹R0805
8òR0805.Normalϐè0
'ÿÿ0'ÿÿ
0e'ÿÿ0R131ýÞ7‘R0805
8òR0805.NormalsŽäŽ0
'ÿÿ0'ÿÿ
0e'ÿÿ0cR109Žî„]ŽR0805
8òR0805.Normal¸Ž0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R103¬   Â„4‹R0805
8òR0805.NormalӐ¬è0
'ÿÿ0'ÿÿ
0e'ÿÿ0eR129¶‘¶Þ!‘R0805
<òJUMP2X2_CON.Normalú¾ž0
'ÿÿìÿ0'ÿÿöÿ0eJ24標ÿ標ÿæ²›ÿæ²›ÿJUMP2X2_CON
€<òJUMP2.Normal®SP–0
'ÿÿìÿ0'ÿÿöÿ0eJ15€n Snª.RJUMP2
K8òR0805.NormalzTzÈ0
'ÿÿöÿìÿ0'ÿÿöÿöÿ0eR27[˜ÒWpÒºrR0805
8òR0805.NormalTŽ0
1'ÿÿ0'ÿÿ
0e'ÿÿ0R101^¬!^„(‹R0805
8òR0805.NormalאHè0
'ÿÿ0'ÿÿ
0e'ÿÿ0 R127R1‘RÞùR0805.%6VDDPQ
Ôê0%G'ÿÿ1öÿöÿ0e!ˆV%/‡[GNDR
\0%G!ºY%/¨”\GND¬R
R:0%S!|Q%/Hu\GND:S
²æ0%!ÞR%/Ð`ZGND T
næ0%G!àU%6ˆ±\VDD¢T
¤(0%G'ÿÿ1öÿöÿ0!*S%/ ‚[GND>U
T:0%G!ÆV%/@»ZGND‚U
ÔD0%t!¨Q%6¨·\VDDîV
Öê0%t'ÿÿ1öÿöÿ0!DQ%/øZGNDØW
ÖD0%G!žT%/x‘\GNDþQ
¨0%G!°Y%6¨0[VDDÈU
¢(0%G'ÿÿ1öÿöÿ0!öV%/p{[GNDe]
ξ0% !U]%6P€\VDD}Ú
Î20%G'ÿÿ1öÿöÿ0!¾Ú%/@±[GND;
"0%G!%/PÊ[GNDK‚
T0%G!ӂ%/xÍ[GND]ƒ
†0%G!æƒ%/8Ï[GNDq„
¸0%G!û„%/8Ò[GND‡…
ê0%G!†%/øÓ[GNDž†
0%G!*‡%/Ö[GND¸‡
N0%G!Dˆ%/Ø×[GNDӈ
€0%G!a‰%/hÚ[GNDGŽ
Þ¶0%G!—Ž%/H-\GNDWŽ
¶0%G!_Ž%/\GND[Ž
z¶0%!Ž%/8)\GNDgŽ
¶0%G!yŽ%/h\GND…Ž
²¶0%G!{Ž%/ \GND£Ž
ä¶0%G!“Ž%/,\GND©Ž
H¶0%!­Ž%/`5\GND±Ž
¬¶0%!Ž%/h$\GNDÿ
†¶0%G!%/à;\GND
¶0%G!%/¨7\GND

ê¶0%!%/P=\GND
T¶0%!!%/à>\GND
N¶0%G!%/˜<\GND
"¶0%!%/h9\GND'
€¶0%!#%/˜?\GND+
¸¶0%!        %/(;\GNDː
Þ0%G!‘%/ X\GNDې
0%G!ã%/xC\GNDߐ
z0%!‘%/ðT\GNDë
0%G!ý%/øG\GND ‘
²0%G!ÿ%/¸I\GND'‘
ä0%G!‘%/hW\GND-‘
H0%!1‘%/Ð^\GND5‘
¬0%!‘F&``M\
OFF_PAGE_IN_R2Q
Ìr0&'ÿÿ10# U&lH¢Z
OFF_PAGE_IN_R`R
Ê|0&G'ÿÿ10#U&\p•Z
OFF_PAGE_IN_R¨R
Ì60&'ÿÿ10#°U&k°¥Z
OFF_PAGE_IN_RS
ʆ0&G'ÿÿ10#ZT&_P’Z
OFF_PAGE_IN_R
S
Ìh0&G'ÿÿ10#˜Q&f+Z
OFF_PAGE_IN_RS
ÌÂ0&G'ÿÿ10#šS&a8ƒZ
OFF_PAGE_IN_R6S
Ì|0&G'ÿÿ10#ÜT&ià“Z
OFF_PAGE_IN_R4T
Ê®0&G'ÿÿ10#ÀR&^PVZ
OFF_PAGE_IN_RfT
ÌJ0&G'ÿÿ10#RS&gpwZ
OFF_PAGE_IN_R´T
ÊÂ0&G'ÿÿ10#ÖQ&dh4Z
OFF_PAGE_IN_R¸T
Ì®0&'ÿÿ10#äS&c¸Z
OFF_PAGE_IN_RÐT
̤0&e'ÿÿ10#&V&]°Z
OFF_PAGE_IN_RNU
Ì@0&G'ÿÿ10#¦W&jhÏZ
OFF_PAGE_IN_RÄU
ʤ0&G'ÿÿ10#ŠS&Y €Z
OFF_PAGE_IN_RúU
Ì0&t'ÿÿ10#VV&Z˜±Z
OFF_PAGE_IN_RbV
Ì0&G'ÿÿ10# R&eˆUZ
OFF_PAGE_IN_RxV
̸0&G'ÿÿ10#nT&X“Z
OFF_PAGE_IN_RÎV
Ìú0&G'ÿÿ10#tR&h°OZ
OFF_PAGE_IN_R~W
ʸ0&G'ÿÿ10#ÌW&[ðÐZ
OFF_PAGE_IN_RªW
Ì,0&t'ÿÿ10#äU&W°©Z
OFF_PAGE_IN_RX
Ìð0&t'ÿÿ10#"W&b¾Z
OFF_PAGE_IN_RX
̆0&G'ÿÿ10#:U&]˜œZ
OFF_PAGE_IN_R³Ý
úÈ0&G'ÿÿ10#UÝ&i ½[
OFF_PAGE_IN_RÛÝ
ú"0& 'ÿÿ10#iÝ&YÀ¨[
OFF_PAGE_IN_RïÝ
úð0&G'ÿÿ10#cÝ&\¸«[
OFF_PAGE_IN_RÏÝ
úÒ0&G'ÿÿ10#YÝ&bøÅ[
OFF_PAGE_IN_R÷Ý
ú–0&'ÿÿ10#KÝ&m@§[
OFF_PAGE_IN_RØá
J0&'ÿÿ10#èá&h¸–[
OFF_PAGE_IN_RÃÝ
ú0&G'ÿÿ10#[Ý&eˆ­[
OFF_PAGE_IN_RëÝ
ún0&G'ÿÿ10#EÝ&X`¡[
OFF_PAGE_IN_R»Ý
úú0&G'ÿÿ10#]Ý&^0¿[
OFF_PAGE_IN_RãÝ
ú¾0&'ÿÿ10#SÝ&lœ[
OFF_PAGE_IN_RÞ
ú@0&'ÿÿ10#mÝ&cÂ[
OFF_PAGE_IN_RÿÝ
úx0&'ÿÿ10#IÝ&Wº[
OFF_PAGE_IN_R×Ý
ú0&G'ÿÿ10#_Ý&kØÄ[
OFF_PAGE_IN_RûÝ
ú,0&G'ÿÿ10#kÝ&nȝ[
OFF_PAGE_IN_RÝá
^0&G'ÿÿ10#x¯&jP®[
OFF_PAGE_IN_RóÝ
ú60&G'ÿÿ10#gÝ&[àº[
OFF_PAGE_IN_R·Ý
úæ0&'ÿÿ10#OÝ&gxÀ[
OFF_PAGE_IN_RËÝ
ú0&'ÿÿ10#aÝ&fh¾[
OFF_PAGE_IN_RçÝ
ú‚0&'ÿÿ10#CÝ&a@Á[
OFF_PAGE_IN_RUÞ
úª0&'ÿÿ10#MÝ&dP¹[
OFF_PAGE_IN_RÞ
úŒ0&'ÿÿ10#GÝ&ZÐÂ[
OFF_PAGE_IN_R¿Ý
úÜ0&'ÿÿ10#eÝ&_@Ç[
OFF_PAGE_IN_RÇÝ
ú´0&'ÿÿ10#QÝ&`˜¯[
OFF_PAGE_IN_RßÝ
ú 0&r'ÿÿ10#WÝ&ah´[
OFF_PAGE_IN_R´ß
úÖ0&G'ÿÿ10#fÿ&fhÒ\
OFF_PAGE_IN_R¨ß
ú¤0&G'ÿÿ10#Kÿ&cXÌ\
OFF_PAGE_IN_Rxß
úÂ0&e'ÿÿ10#Qÿ&mÐÎ\
OFF_PAGE_IN_Ríá
úž0&e'ÿÿ10#™ÿ&] Á\
OFF_PAGE_IN_R§
úþ0&e'ÿÿ10#oÿ&W¸»\
OFF_PAGE_IN_Rӧ
ú:0&e'ÿÿ10#ÿ&l¸Ö\
OFF_PAGE_IN_RŸô
úv0&'ÿÿ10#•ÿ&bøÐ\
OFF_PAGE_IN_RÀß
úÌ0&e'ÿÿ10#cÿ&\˜Ç\
OFF_PAGE_IN_RÄß
ú0&e'ÿÿ10#uÿ&^Ö\
OFF_PAGE_IN_R|ß
úô0&e'ÿÿ10#qÿ&[p×\
OFF_PAGE_IN_Rˆß
ú0&G'ÿÿ10#wÿ&dÇ\
OFF_PAGE_IN_R¼ß
ú¸0&e'ÿÿ10#Sÿ&`ˆÏ\
OFF_PAGE_IN_Rϧ
úà0&'ÿÿ10#kÿ&e€É\
OFF_PAGE_IN_Rtß
ú®0&G'ÿÿ10#Nÿ&XØÓ\
OFF_PAGE_IN_Rpß
ú00&'ÿÿ10#ƒÿ&h Ó\
OFF_PAGE_IN_R¤ß
úN0&'ÿÿ10#‰ÿ&ghÆ\
OFF_PAGE_IN_R¸ß
úD0&'ÿÿ10#‡ÿ&Z0È\
OFF_PAGE_IN_R˜ß
ú0&'ÿÿ10#{ÿ&YÔ\
OFF_PAGE_IN_Rß
ú&0&'ÿÿ10#}ÿ&kº\
OFF_PAGE_IN_R™ô
úl0&'ÿÿ10#“ÿ&_˜¾\
OFF_PAGE_IN_RΧ
úê0&'ÿÿ10#iÿ&nÈÄ\
OFF_PAGE_IN_Ròá
ú¨0&'ÿÿ10#›ÿ&iÈÈ\
OFF_PAGE_IN_R ß
úX0&'ÿÿ10#ÿ&jÎ\
OFF_PAGE_IN_R„ß
úb0&'ÿÿ10#ÿ=Xd8Q(–0=0.(–>¦(–N2=XdÆQ(d0=0.(d>t(dN1=Xd4R(20=0.(2>B(2xN0=XdÎR¸0=0.¸0ȸN4=XdÈS|0=0.|0Œ|N5=Xd:T@0=0.@0P@N6=Xd¨T0=0.0N7=XdUlÈ0=G0.lȂØlÈN7=Xd˜Uld0=G0.ld‚tldN5=XdîUl–0=0.l–‚¦l–~N6=XdhVl20=0.l2‚Bl2N4=Xd¾V2ú0=0.2út 2ú
NIBBLE
ENABLE=Xd°W(È0=0.(È>Ø(ÈL_N3=Xd»if0=0.fävf}5V TOLERANT INPUTS=Xd@u¸0=0.¸2ȸzN0=XdDu0=0.2zN3=XdHu|0=0.|2Œ|pN1=XdLu@0=0.@2P@N2=XdÝ<Â.0=0.Â.>Â.„5V TOLERANT INPUTS for BANK 1=Xd?(¼0=0.(¼RÌ(¼¶5V TOLERANT INPUTS (BANK 1)=Xd¯A è0=0. è
 è¹FPull Down Resistors may
be omited if used chips
with Bus Keeper inputs7XdÉA
070(¦L=XdÕAP¸0=U0.P¸’ÈP¸BANK 17XdÂC070(ºJÐ=XdU1ÎÒ0=ÿÿ0.ÎÒ.ÎÒ¥gIf VCCO for this
bank requires
other than 3.3V
do not populate R28
and use J22 as
power connector.
PAGE2 - Config and Bank 2A3jùÏL÷£M h(ˆì     ì    ì    00A1Ò
Lš˜@_
TITLE_MLABI0Zhù#0A'ÿÿ
¾<0›'ÿÿJ<0œ'ÿÿ
<0a'ÿÿðP0a'ÿÿ,P0'ÿÿ
(P0ö'ÿÿ(<0a'ÿÿ"
0@6
TTT T=TCTDTaTgToTyTˆTT˜Tük±€´€¹€½€̀ЀԀ?…¯ù­.³ÂÂçÃÄ#Ä-ÄÞÄÅ2ŐˑËãÖèïéïøïûï-ð.ðNòOò¾%»'Â'Í'Þ'E(/,0,'GNDÍ'SD1ANNòSD1APOòSD1BNaTSD1BP TVDD_1V2.³TMS½€PS2_CLK2gTVDD_3V3/,VDD_5VÂÂPROG‘ËANA_OUTDÞ'DONEE(VS0̀VS1ЀVS2ԀSPI_DIükSPI_DOÂ'LED0=TLED1DTLED2oTLED3yTLED4TLED5
TLED6TLED7TSPI_CLK»'M0éïM1ûïM2.ð        PS2_DATA2CTTCK±€ANA_REFD˜TTDI¹€TDO´€     CLK100MHZ¾%SPI_WP#ãÖANA_INDˆTSPI_CS#0,Bû/,00v/,00<0ú/,00vf.³0bævæi.³0bÜbæk.³0bÈvÈp.³0bÒvÒy.³0bÜvÜr.³0b<bÈ).³0TT",.³0T"†"..³0ê"ê,0.³0T"T,>.³0¸"¸,@.³0¸"ê"P.³0†"†,R.³0†"¸"d/,0TšT¤/,0†š¸šÈ½€0dvdݹ€0nvnç´€0xvxý±€0‚v‚Å     /,0dFxFÉ       çÃ0d–––Ì       #Ä0d‚–‚Ï        -Ä0dxŒxÒ  -Ä0ŒnŒxÔ -Ä0Œn–nÙ Ä0dd‚dÜ  Ä0‚Z‚dÞ Ä0‚Z–Z0
½€0¾–ð–3
¹€0¾‚ð‚6
´€0¾nðn9
±€0¾ZðZdÍ'0DÜDæü…0D´v´w Í'0hühÄ ¾%0Ìüàü"Ѐ0¾NðN""̀0¾:ð:$"Ԁ0¾bðb¥0/,0Ö¢Ö¶Ï5.³0bÈbÒÑ5.³0bÒbÜëÍ'0TÖTàÍ'0bšvšaÍ'0êTê^ù%Å0<b<lõ%2Å02N2lí%ÞÄ0(:(ló%2Å02N–Nû%Å0<b–bï%ÞÄ0(:–:µc0,0`ˆÅcãÖ0`*t*ôdÂ'0` ~ -pük0`bˆbqpük0ˆbˆ¼spük0ˆ¼’¼upük0ˆb’b}p»'0`l~l€p»'0~l~Æ‚p»'0~Æ’Æ„p»'0~l’lÕp/,0tv’v!q/,0tÐ’Ðkq0,0`€j€nq0,0j€jÚpq0,0jÚ’Úrq0,0j€’€×p/,0tD
D–sÂ'0ìböb”sÂ'0öbö¼QsÂ'0ì¼ö¼mtãÖ0ìÚÚptãÖ0€ÚrtãÖ0쀀ßt/,0ìöát/,0
Ð
xu»'0ì**{uük0ì44vzÂ'0öb(bxzãÖ0€(€I|0,0ˆˆpK|0,0ˆp’pM|0,0ˆ’X|Â'0~ ~zZ|Â'0~z’z\|Â'0~ ’ g|ãÖ0t*t„i|ãÖ0t„’„k|ãÖ0t*’*'}/,0öpözy}»'0ì„„{}»'0*„ˆ}ük04ŽŠ}ük0쎎Œ}ük04(4“%Í'0(ž(¨Í'0b¤v¤Õ5Í'0bJbTÍ'0b,v,p~Í'0ÆÆuÍ'0†^¸^™%Í'02ž2¨ÒÍ'0(†2†Nèï0((JJ-ð02,2JTéï0¾ðE.ð0¾@ð@=øï0<@–@H-ð02,–,:øï0<@<JPèï0(–\ûï0¾,ð,Œp/,0jDtDyÍ'0b^v^+u/,0öö CÍ'0b|v|{/,0¸šêš t/,0
v
Ðq/,0tvtÐg/,0Tš†šØ|/,0

p't/,0
D
vþ/,000/,00úvú\/,00ú0\!/,0h¢h¶q /,0̶ֶå=/,0
:
Dú /,0ê˜ê¢à      /,0x<xF)u/,0ì ö 8Í'0†Ö¸Öx|Í'0jŽj˜¦sÍ'0ìll
/,00vÖ|/,0ìpöpÝ5Í'0b6b@y/,0¸š¸¤‹/,0†š†¤-u/,0ö
t/,0ìÐ
Ѝ%Í'0<ž<¨æ@Í'08DBD/,000Óp/,0tDtvcÍ'0TTT^SÍ'0brvri/,0êšê¤"t/,0ìv
vk/,0TTš^!/,0h¶|¶%}/,0ìzöz½     Í'0dPxP ÈÍ'0êÔêÞÉ/,0ê¢ê¬)!/,0h¢Ö¢àÝ/,0Ö¢ê¢,ÞãÖ0Ú2Ú.ÞãÖ02Ð2Ú6Í'0†Ì†ÖÍ'02†<†Í'02|2†§E(0 ªÔÍ'0<|<†ÝÍ'0b6v6ÁÍ'0b@v@¿    Í'0xPx dÍ'0j4jŽ_Í'0T^†^Í'0¸Ì¸ÖÙ5Í'0b|b†òÍ'0TÌTÖÍ5Í'0bhbrÛ5Í'0brb|ÖÍ'0(†(%Í'0(¨2¨Í'0b,b6Í'0¸ÖêÖ§Í'0bJvJðÍ'0êÌêÖ7Í'0b†b‘%Í'0(¨(²\Í'0T^Thä@Í'08D8Nv|Í'0jŽ’Ž+Í'0bbšeÍ'0bhvh5Í'0b†v†Í'0b¤b®kÍ'0¸^ê^Í'0bTvT×5Í'0b^bhdÍ'0j4’4tÍ'0ÆäiÍ'0¸T¸^sÍ'0†T†^)Í'0bv!Í'0bšb¤îÍ'0TÖ†Ö¤sÍ'0lÆu Í'0hü|üÓ5Í'0bTb^EÍ'0b@bJÏÍ'0(|(†‹%Í'02¨<¨¡sÍ'0ìÆÆ¡E(0 v 1ÿÿ:Ÿ04DONE€í‘Ë0à–v–1ÿÿ:•0PROGÄ
?0ÒÜÚôË0à ê ÑôË0ê êªbú/,0öp
pdú»'0*(*Aù˜T0jJPJ°ªT0jÒ Ò¯/,0˜d˜nDùˆT0jTPT™éï0jnnð!Ԁ0j  dNò0júìú³ªyT0jÜ ÜGùãÖ0jh’hž.ð0jxxbOò0jðìðö!Ѐ0j––+ögT0jŒZŒ¶ªoT0jæ æJùù­0j|œ|XaT0jì­/,0fdfn¤ûï0jdd"̀0jªª/,0fZfdNÂ'0j^’^.öCT0jZɪDT0j, ,¹/,0˜d¬d«Í'0˜–˜ Qük0j†’†/,0¬dÀd̪=T0j6 6…       Þ'0j@P@¡Í'0f–f ›/,0fd˜d§ªT0j´ ´™Í'0f fªT0,0j‚’‚/,0¬nÀnK»'0jr’r‰¨¾%0j"Ü"/,0¬d¬nŸÍ'0f ˜ ªªT0jÈ È­ª
T0j¾ ¾Z T0jìÍ'0²Í'0êÒêÜî¸Í'00¼0ÔÍ'0¼N¼b»/,0”ŠžŠ;¸Í'0àÚàmÔÍ'0¼b¼lκ/,0”Š””õºÂÂ0àvàŠ׺/,0žŠž²lÇ/,0îŠî”kºÍ'0†”š”fǯ0î¼îÐ`ºÂÂ0àŠŠg½Í'0¶Ú¶'¾Í'0H¼HgºÍ'0š€š”˺/,0€”””ÔÍ'0¼NÆN¢¹Í'0žÚžs¼.³0¶v¶ŠµÉ/,0îŠ ŠeºÍ'0†€š€u¼.³0¶Š¶²hÇÍ'0îøîÒº/,0€Š”Š^ºÂÂ0àŠà²(Â.³0˜Š¶Š”Ø/,0žXÆXmºÍ'0š”šž‹÷Í'0d.d8LÍ'0ò<ø/,0æ$æ8RÍ'0¶òPÍ'0îòòNÍ'0FÍ'0²ò²@Í'0²¶²òDÍ'0žò²ò=Í'0ž¶²¶:ø/,0¾8æ87ø/,0¾.¾8^/,0žŠîŠÍ_/,0žXžŠ7g/,0žDžX`Í'0¼bÆbšk/,02ž2¨ÈnÍ'0¸Ú¸/oÂÂ0¸Š¸²1oÂÂ0¸ŠàŠ[ºÂÂ0†Š¸ŠtÂ'0x\Œ\?/,0x>xHA/,0xHŒHE»'0xpŒpHE(0x„Œ„KÞ'0x˜Œ˜NÍ'0x¬x¶PÍ'0x¬Œ¬*/,0BžB¨N*0,0BÐBÚP*0,0BÚjÚC
98C0805.Normalî60
1'ÿÿ0'ÿÿ
0eC7:¸Ti¸,>C0805
òXC3S50AN-TQG144A.Normalˀ(0
'ÿÿ†0'ÿÿ0e'ÿÿ š0'ÿÿ¤0U1*vdÈvnÝv,v6ÝvÈkv@ÁvJ§vú   vÒp
vTv^yv

v ¡v´üvhevrSvÜyv|Cv†5vxçvv‚ýv)væfvšvûv¤v–€íXC3S50AN-TQG144
98òC0805.NormalÇà60
1'ÿÿ0'ÿÿ
0eC8:êTaê,.C0805
€<òJUMP2.Normalî#ÂŒ0
1'ÿÿìÿ0'ÿÿöÿ0eJ6€à–€íà ÚôJUMP2
;<òJUMP2X3.Normal‰%v0
1'ÿÿ
P0'ÿÿ
Z0eJ5;(ž“%(lí%2ž™%2lõ%<ž%<lù%JUMP2X3
K8òR0805.NormalÖÝ(²0
1'ÿÿ0'ÿÿ
0eR15[2Ð.Þ2¨škR0805
)(ò
1N5820.Normalâk®¼0
1'ÿÿìÿ0'ÿÿØÿ
0eD9*¸ÚÈn¸²/o1N5820
ž¼òAT25DF0xxA-SSH.Normal¼bœ0
'ÿÿ20'ÿÿ<0e'ÿÿF05U7¦’M|’ \|’*k|’4dì4{uì*xuì )uìßtAT25DF0xxA-SSH
K8òR0805.Normal/  x0
1'ÿÿ(öÿ0'ÿÿ(0eR3L–‚Ì  ¾‚3
R0805
K8òR0805.Normal‡
´0
1'ÿÿ0'ÿÿ
0eR12\ÒÄ
ª§R0805
K8òR0805.NormalÄ© 00
1'ÿÿ(öÿ0'ÿÿ(0eR8L–:ï%¾:""R0805
_^ò
LED3mm.Normal‰
æ0
1'ÿÿ20'ÿÿ<0eD8aÜÄ
LED3mm
K8òR0805.Normal+:¾0
'ÿÿ0'ÿÿ
0eR13[DÜdD´üR0805
K8òR0805.Normal3      P0
'ÿÿ(öÿ0'ÿÿ(0eR1L–ZÞ   ¾Z9
R0805
K8òR0805.Normalµ)8²0
1'ÿÿ0'ÿÿ
0eR89[BÐN*B¨*R0805
K8òR0805.Normal`Çäž0
1'ÿÿ0'ÿÿ
0eR14\î¼fÇî”lÇR0805
K8òR0805.Normal7   d0
'ÿÿ(öÿ0'ÿÿ(0eR2L–nÔ   ¾n6
R0805
òXC3S50AN-TQG144D.NormalïÊ(0
1'ÿÿh0'ÿÿr0e'ÿÿ |0R'ÿÿ†0eU1* ÀdÀnjd¤jn™jxžj‚TjŒ+öj–ö!  j ð!
jª"j´§ªj¾­ª
jȪªjÒ°ªjܳªj涪jðbjúdjXjZj.öj"‰¨j,ɪj6̪j@…    jJAùjTDùj^NjhGùjrKj|Jù j†QXC3S50AN-TQG144
¿¼òSST25LF040A-33-4C.Normaltzœf0
'ÿÿ20'ÿÿ<0e'ÿÿF05U8Å’pK|’zZ|’„i|’Žv|쎊}ì„y}ìz%}ìpÖ|SST25LF040A-33-4C
.-ò
SG8002.Normal †¬0
1'ÿÿþÿZ0'ÿÿd0eU40|¶^!|üu ÌüÄ Ì¶q SG8002
K8òR0805.Normal;     Œ0
'ÿÿ(öÿ0'ÿÿ(0eR4L––É      ¾–0
R0805
98òC0805.NormalßÅà¶0
1'ÿÿ0'ÿÿ
0eC4:êÔ Èê¬ÉC0805
K8òR0805.NormalЩ D0
1'ÿÿ(öÿ0'ÿÿ(0eR9L–Nó%¾N"R0805
ONòHOLE_M3.Normal€¬0
1'ÿÿâÿ0D'ÿÿìÿ08M1Ož¶=HOLE_M3
ONòHOLE_M3.NormalЬ0
1'ÿÿâÿ0v'ÿÿìÿ0M2Oî¶NHOLE_M3
ã<òJUMP1.Normalh–R0
1'ÿÿ0'ÿÿ(0eJ34ãŒ\tJUMP1
ONòHOLE_M3.Normal€è0
'ÿÿâÿ0'ÿÿìÿ0M3OžòDHOLE_M3
K8òR0805.NormalØ© X0
1'ÿÿ(öÿ0'ÿÿ(0eR10L–bû%¾b$"R0805
_^ò
LED3mm.NormalpÇäÚ0
'ÿÿ0'ÿÿ
0eD10aîÐfÇîøhÇLED3mm
ONòHOLE_M3.NormalÐè0
'ÿÿâÿ0'ÿÿìÿ0eM4OîòPHOLE_M3
Ý×òLM1117DTX_TO252.Normal!Á*€0
1'ÿÿ220'ÿÿ2<0e'ÿÿ2F0U3ß ŠµÉ˜Š(ÂH¼'¾LM1117DTX_TO252
98òC0805.Normalˆ@L:0
'ÿÿìÿ0'ÿÿöÿ0eC15:jDŒpBDæ@C0805
K8òR0805.Normal†$à´0
1'ÿÿ0'ÿÿ
0eR11‚êÒ²êªÑôR0805
;<òJUMP2X3_CON.NormalRÓÐD0
1'ÿÿìÿ0'ÿÿöÿ0eJ2;ÆNÔÆNÔÆX”ØÆX”ØÆb`Æb`JUMP2X3_CON
98òC0805.Normal©Žx0
1'ÿÿ0'ÿÿ
0eC14:˜–«˜n¯C0805
K8òR0805.Normalˆ© "0
'ÿÿ(öÿ0'ÿÿ(0eR6L–,H¾,\R0805
ã<òJUMP1.Normal‚–>0
'ÿÿ0'ÿÿ(0eJ33ãŒHAJUMP1
K8òR0805.NormalŒ© 60
1'ÿÿ(öÿ0'ÿÿ(0eR7L–@=¾@ER0805
TSò XILINX_P3_JTAG_TARGETSIDE.Normal/2<0
'ÿÿd08'ÿÿn0eJ3TdFÅ       dP½     ddÙ     dxÏ     d‚Ì    d–É    XILINX_P3_JTAG_TARGETSIDE
Nò
TPS.Normal>ù¦r0
1'ÿÿ
0'ÿÿ20eTPS1œ|JùTPS
98òC0805.Normal«J®0
1'ÿÿ0'ÿÿ
0eC9:TÌòT¤dC0805
¿¼òAT45DBxxxD-SH-B.Normal
jœ²0
1'ÿÿ20'ÿÿ<0e'ÿÿF0-U6À’¼sp’Æ‚p’Ð!q’ÚpqìÚmtìÐtìÆ¡sì¼QsAT45DBxxxD-SH-B
ã<òJUMP1.Normal†–f0
1'ÿÿ0'ÿÿ(0eJ35ãŒpEJUMP1
K8òR0805.Normal© 0
1'ÿÿ(öÿ0'ÿÿ(0eR5L–P¾TR0805
;<òJUMP2X3.Normal³ŸT0
1'ÿÿ
P0'ÿÿ
Z0eJ4;(|Ï(JN2|2JJ<|Ô<J:JUMP2X3
;<òJUMP2X3_CON.NormalÅ·^v0
1'ÿÿìÿ0'ÿÿöÿ0eJ1;†€eº†€eº†Š[º†Š[º†”kº†”kºJUMP2X3_CON
žŸòAT45DBxxxD-SSH-B.Normal;œX0
'ÿÿ20'ÿÿ<0e'ÿÿF0HU5¥’bup’l„p’vÕp’€rqì€rtìv"tìl¦sìb–sAT45DBxxxD-SSH-B
98òC0805.Normal¯|®0
1'ÿÿ0'ÿÿ
0eC10:†Ì6†¤‹C0805
bòFIDU.Normalë>¬0
1'ÿÿöÿ0'ÿÿ0eM5b>¶FIDU
ã<òJUMP1.NormalŠ–z0
1'ÿÿ0'ÿÿ(0eJ36㌄HJUMP1
98òC0805.Normal·\x0
1'ÿÿ0'ÿÿ
0eC13:f–¡fn­C0805
bòFIDU.Normal혬0
'ÿÿöÿ0'ÿÿ0eM6b˜¶FIDU
98òC0805.Normal³®®0
1'ÿÿ0'ÿÿ
0eC11:¸Ì¸¤yC0805
Ö×òLM1086S_TO263.NormalÊ·€0
'ÿÿ220'ÿÿ2<0e'ÿÿ2F0U2àŠ`º€”˺0¼î¸€ŠÒº
LM1086S_TO263
bòFIDU.Normalï>Ê0
'ÿÿöÿ0ý'ÿÿ0M7b>ÔFIDU
ã<òJUMP1.NormalŽ–Ž0
1'ÿÿ0'ÿÿ(0eJ37㌘KJUMP1
bòFIDU.Normalñ˜Ê0
1'ÿÿöÿ0'ÿÿ0M8b˜ÔFIDU
98òC0805.Normal·à®0
1'ÿÿ0'ÿÿ
0eC12:êÌðê¤iC0805
Ú8òC-ELYTB.NormalÏ·Ö¼0
1'ÿÿ0'ÿÿ
0e'ÿÿ0eC1áà²^ºàÚ;¸C-ELYTB
còFIDU_PASTE.Normaló>ò0
1'ÿÿöÿ0'ÿÿ0eM9c>ü
FIDU_PASTE
ã<òJUMP1.Normal’–¢0
1'ÿÿ0'ÿÿ(0aJ38㌬PJUMP1
<ò
JUMP10.Normal™¼Z0
1'ÿÿâÿ
0'ÿÿâÿ0J100
d.‹÷þÿn.ýÿx.üÿ‚.ûÿŒ.úÿ–.ùÿ .øÿª.÷ÿ´.
¾.7øJUMP10
còFIDU_PASTE.Normalõ˜ò0
'ÿÿöÿ0'ÿÿ0M10c˜ü
FIDU_PASTE
98òC0805.Normal»J60
1'ÿÿ0'ÿÿ
0eC5:TTcT,0C0805
Ú8òC-ELYTB.NormalÓ·”¼0
1'ÿÿ0'ÿÿ
0e'ÿÿ0RC2áž²׺žÚ¢¹C-ELYTB
98òC0805.Normal¿|60
1'ÿÿ0'ÿÿ
0eC6:†Ts†,PC0805
Ú8òC-ELYTB.Normal×·¬¼0
1'ÿÿ0'ÿÿ
0e'ÿÿ0RC3ᶲu¼¶Úg½C-ELYTB+%/GND(
X®0%G!%6˜QaVDDH
&20%G'ÿÿ1öÿöÿ0!%5€0`VDDN
X20%G'ÿÿ1öÿöÿ0!r%/;`GNDÏ
Jà0%G!ë%/°`GND
Jh0%G!\%5ÈLaVDD¯
J0%G'ÿÿ1öÿöÿ0!)%6 ;`VDD³
J†0%'ÿÿ1öÿöÿ0t!k%/4aGND+
0%G!%6@§aVDD3
n20%G'ÿÿ1öÿöÿ0!Ã   %/¸ aGND`
n 0%G!¿       %/AaGND/
:æ0%G!d%/q`GNDŠ
^0%G!w %/Pu`GNDÚ
àÞ0%G! È%69aVDDÉ 
àŽ0%'ÿÿ1öÿöÿ0!ú %/aGND—%
²0%G!‘%%68LaVDD§=
00%'ÿÿ1öÿöÿ0!å=%/paGND ?
ä0%!t%/èSaGNDŒ@
.N0%!ä@%/PMaGND£
0%G!Ö%/(HaGNDd
`˜0%G!x|%6ø&aVDD<Þ
(”0%'ÿÿ1öÿöÿ0!šk%/Ð     bGNDŠ$
àÜ0%G!²%/°¨aGND³
\ª0%G!™%6@œaVDD¥
\P0%G'ÿÿ1öÿöÿ0R!%/hŒaGND¢Ó
²l0%!mÔ%/ ¶aGND•¸
&0%!î¸%/°«aGNDÈÇ
ä0%!hÇ%5häaVDDɾ
¬l0%G'ÿÿ1öÿöÿ0R!s¼%/ÙaGNDȽ
>0%G!'¾%/øÎaGNDI¹
”0%G!¢¹%/ØaGNDº
ž0%!mº%/HëaGNDã·
Ö0%G!;¸%4µaVDD>»
Öl0%'ÿÿ1öÿöÿ0e!õº%/ºaGND½
¬0%t!g½%/`ËaGNDZ
¨0% !F%/0üaGND–
ø0%G!L%6`ñaVDDó÷
Ü0%e'ÿÿ1öÿöÿ0¶!<ø%/ÈôaGND&÷
Z80%G!‹÷%6ÈíaVDD´e
”:0%G'ÿÿ1öÿöÿ0!7g%/ðbGNDan
®0%G!Èn%/ˆ
bGND·
n¶0%!N%6ÐbVDD 
n40%'ÿÿ1öÿöÿ0!?%6HbVDD¹)
8”0%'ÿÿ1öÿöÿ0!*:&UPbOFF_PAGE_OUT_Rå       
ðP0&G'ÿÿ10R#9
&X@p`OFF_PAGE_OUT_Rì       
ðd0&G'ÿÿ10R#6
&Wxo`OFF_PAGE_OUT_Rñ       
ðx0&G'ÿÿ10R#3
&VØl`OFF_PAGE_OUT_R-

ðŒ0&G'ÿÿ10R#0
&V i`
OFF_PAGE_IN_LJ

Z0&G'ÿÿ1âÿ0#È&W¨P`
OFF_PAGE_IN_LS

d0&G'ÿÿ1âÿ0#Ý&X°T`
OFF_PAGE_IN_L_

n0&G'ÿÿ1âÿ0#ç&UxU`
OFF_PAGE_IN_Ln

x0&G'ÿÿ1âÿ0#ý&uøV`OFF_PAGE_OUT_R£!
àò0&G'ÿÿ10R#Ä &x@{`OFF_PAGE_OUT_R"
ðD0&'ÿÿ10R#"&¡X`
OFF_PAGE_IN_LP@
VX0&'ÿÿ1Øÿ0#-p&¢@®`
OFF_PAGE_IN_Lh@
Vb0&'ÿÿ1Øÿ0#}p&£x¸`
OFF_PAGE_IN_Lr@
Vv0&'ÿÿ1Øÿ0#kq&¾`¼`
OFF_PAGE_IN_R¢`
(v0&G'ÿÿ10#xz&£8Ü`
OFF_PAGE_IN_L³c
V0&G'ÿÿ1Øÿ0#µc&¾¤`
OFF_PAGE_IN_L¼c
V 0&G'ÿÿ1Øÿ0#Åc&¢à©`
OFF_PAGE_IN_Ræd
( 0&G'ÿÿ10#dú&¤€aa
OFF_PAGE_IN_Lòd
V0&G'ÿÿ1Øÿ0#ôd&¡x­`
OFF_PAGE_IN_Re
(*0&G'ÿÿ10#Œ}&¤è´`OFF_PAGE_OUT_R|@
(X0&G'ÿÿ10R#vz&B¨Û`OFF_PAGE_OUT_Rm 
ð"0&'ÿÿ10R#\&C˜aOFF_PAGE_OUT_Rq 
ð0&'ÿÿ10R#T&A8aOFF_PAGE_OUT_Ru 
ð60&d'ÿÿ10R#E&wÐaOFF_PAGE_OUT_R‹ 
ðX0&G'ÿÿ10R#$"&v€ˆ`OFF_PAGE_OUT_R“ 
ð00&G'ÿÿ10R#""&u؄`
OFF_PAGE_IN_R‡¨
Ü0&G'ÿÿ10#‰¨&Bˆ¡a
OFF_PAGE_IN_Rå

Z0&'ÿÿ10#¤&"øŠaOFF_PAGE_OUT_RÜ
P60&'ÿÿ10R#… &$ø˜a
OFF_PAGE_IN_RwÜ
PJ0&G'ÿÿ10#Dù&vpoa
OFF_PAGE_IN_Rþ!
 0&G'ÿÿ10#"&£À‹aOFF_PAGE_OUT_RÝA
’x0&'ÿÿ10R#T&eèœaOFF_PAGE_OUT_R™«
 "0&G'ÿÿ10R#ɪ&¡ŽaOFF_PAGE_OUT_RÂA
’|0&'ÿÿ10R#Q&iè•aOFF_PAGE_OUT_RÕ
 ¾0&G'ÿÿ10R#ªª&&¥aOFF_PAGE_OUT_R¼Ù
P@0&'ÿÿ10R#Aù&ËðcaOFF_PAGE_OUT_Rc¬
ì0&G'ÿÿ10R#Z&wx¦a
OFF_PAGE_IN_Rú!
–0&G'ÿÿ10#ð!&A°ta
OFF_PAGE_IN_RÞ

n0&'ÿÿ10#ž&dÐaOFF_PAGE_OUT_R’«
 ,0&'ÿÿ10R#̪&¢0˜aOFF_PAGE_OUT_RØA
’h0&'ÿÿ10R#K&РaOFF_PAGE_OUT_Rƒ
Z‚0&'ÿÿ10R#+ö&hè‚aOFF_PAGE_OUT_RÝ
 ´0&'ÿÿ10R#­ª&È°¥aOFF_PAGE_OUT_R_¬
ìæ0&'ÿÿ10R#b&_ €aOFF_PAGE_OUT_RÏ
 Ò0&G'ÿÿ10R#³ª&jxzaOFF_PAGE_OUT_R¼ª
 ª0&G'ÿÿ10R#§ª&Cðša
OFF_PAGE_IN_Rê

d0&'ÿÿ10#™&xra
OFF_PAGE_IN_Rô!
Œ0&G'ÿÿ10#ö!&haOFF_PAGE_OUT_R~
Z0&'ÿÿ10R#.ö&¤ȍa
OFF_PAGE_IN_RÏA
’T0&G'ÿÿ10#N&ʍaOFF_PAGE_OUT_R[¬
ìú0&'ÿÿ10R#X&gx‰aOFF_PAGE_OUT_RÙ
 È0&e'ÿÿ10R#°ª&¾ÈhaOFF_PAGE_OUT_R9b
’^0&e'ÿÿ10R#Gù&ɨ|aOFF_PAGE_OUT_Rg¬
ìð0&e'ÿÿ10R#d&fHxaOFF_PAGE_OUT_RË
 Ü0&e'ÿÿ10R#¶ª&¤…a
OFF_PAGE_IN_L|
nR0&'ÿÿ1Øÿ0#t&¢Àb
OFF_PAGE_IN_L‰
nf0&'ÿÿ1Øÿ0#E&Iˆb
OFF_PAGE_IN_L–
nz0&'ÿÿ1Øÿ0#H&" b
OFF_PAGE_IN_L®
nŽ0&'ÿÿ1Îÿ0#KS=Èd>
‚¾0=0.‚¾®Î‚¾DSJTAG=ÈdÖð0=0.ÖðÖðµDONE=ÈdÇ^$0=0.^$4^$&'OSCILLATOR 100MHz=ÈdîBª”0=0.ª”žª”ulFast Read 0x0B (66MHz)=ÈdòBd”0=0.d”’žd”      1   1   1=ÈdúBªž0=i0.ªž¨ªžRead 0x03 (33MHz)=ÈdþBdž0=0.dž’¨dž       1   0   1=Èd
Cª¨0=0.ª¨ö²ª¨Read Array 0xE8=ÈdCd¨0=0.d¨’²d¨     1   1   0=ÈdjGd€0=0.d€œŠd€ŸVS2 VS1 VS0=Èd2I2ä0=0.2äøô2ä®SPI VARIANT SELECT=Èd
PtÀ0=0.tÀ$ÐtÀªSPI CONFIG FLASH=Èd˜Uª¤0=0.ª¤¾®ª¤¢JTAG=ÈdœUd|0=0.d|’†d|¢       0   0   1=Èd¢Ud^0=0.d^Œhd^¢M2 M1 M0=Èd¦Uª®0=0.ª®ð¸ª®²Slave Parallel=ÈdªUd†0=0.d†’d†²       0   1   0=Èd°Uª¸0=0.ª¸æª¸–Slave Serial=Èd¸U2ô0=0.2ôÒþ2ô² Mode pins have internall pull-up=Èd¾Ud0=0.d’šd²     0   1   1=ÈdÄUd®0=0.d®’¸d®²    1   1   0=ÈdÊUdr0=0.dr’|dr²        0   0   0=ÈdÎUd¸0=0.d¸’Âd¸²    1   1   1=ÈdÖUdš0=0.dš’¤dš²    1   0   0=ÈdÞUd¤0=0.d¤’®d¤¢    1   0   1=ÈdæU2à0=0.2àøð2à¡CONFIGURATION MODE=ÈdêUªr0=0.ªrì|ªr
Master Serial=ÈdðUª|0=0.ª|܆ª|¡
Master SPI=ÈdúUª†0=0.ª†ºª†BPI=ÈdVª0=0.ª
šªulInternal Master SPI=Èd
Vªš0=0.ªšÒ¤ªš¢Reserved=Èd2tÔ0=0.tÔ¶ÞtÔDS
Use only one.=Èd:tÞ0=0.tÞ(ètÞ&'$XC3S50 --> 1Mbit, XC3S200 --> 2Mbits=ÈdFtè0=0.tèèòtè&'Not needed for XC3SxxAN7Èd˜ù070("Ü7Èdýú070(ð"7Èdÿ070("=Èd¡Öê0=0.Öê†úÖêCONFIG and POWER=Èd½ê<0=0.ê<Lê<ÃJTAG<Èd.
æì<ìæ0<0-æìì<<Èd'<´F<0<0-´<<F=Èd5ˆê0=0.ˆê8úˆê„BANK2 and CONFIG=Èd9 (0=0. (î8 (sLED BAR=ÈdCZ|0=0.Z|’ŒZ|rAD/DA<Èd[<’’<0<0-’<’<=Èdo’(0=0.’(8’(ª
SPI CONFIG<Èd“< @ð <0<0-@ < <ð=Èd›(0=0.(@8(²MODE=Èd­Z(0=0.Z(†8Z(‡PS/2<Èd><Z"ŒZ<0<0-"Z<Z<Œ=Èdö|0=0.ö|8Œö|1 DIF IO<Èd6P–P60<0-6PP–<ÈdaܐÜ0<R0-ܐܐ=Èdiæ|0=0.æ|Œæ|1 CLK7Èd"öÿ070(647ÈdÚ4öÿ070(H6=ÈdV 0=G0. š0 †1.2V / 800mA7Èdf,070(6f=Èdtô 0=G0.ô „0ô ‡
3.3V / 1500mA=ÈdxøN0=0.øN\^øN‡ +3.3V OUT=Èd†bH0=0.bHæXbH‡POWER SUPPLY=Èd˜JD0=0.JDŒTJD‡+5V IN=ÈddL0=G0.dLÒ\dLDS
SPARE PINS7Èd^z070(XzB=ÈdM$0=G0.$p4$²
MECHANICAL7Èd$Ð070(xü=ÈdÓî2ø0=i0.2øÒ2ø.O Mode pins have internall pull-up8ÈdnðZm080)Zmm8Èd„ð ^080) ^ Â=ÈdŒðª^0=0.ª^¾hª^ulMode8ÈdYñZ08i0)Z8Èdañ €080) € ²=Èd‘ñª€0=0.ª€¾Šª€ìMode=ÈdPÊ0=0.PÊÔÚPʪSLAVE SERIAL=Èd*æR0=0.æRö\æRDIN=Èdæ>0=0.æ>úHæ>íVref=Èd
æf0=0.æfpæfíCCLK¨=Èdæz0=0.æzú„æzëDONE=ÈdæŽ0=0.æŽú˜æŽ¾INIT=Èdæ¢0=0.æ¢ö¬æ¢²GND7Èd1!¢070(ü"j7Èdˆ%@z070(6zDB7Èd–' 070( "聂ƒ„…†‡ˆ‰Š‹ŒŽ‘’“”•–—˜™š›œþÿÿÿŸ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèþÿÿÿQýÿÿÿìíîïðñòóôõö÷øùúûüýþÿ
PAGE3 - Bank 0 and 3 and ADDAA3KbæL÷£M h(ˆì  ì    ì    00A1Ó
Lš˜@_
TITLE_MLABM0Zhù#0A'ÿÿ
¾<0÷'ÿÿJ<0'ÿÿ
<0_'ÿÿðP0'ÿÿ,P0p'ÿÿ
(P0'ÿÿ(<0a'ÿÿ"
0a@HšTžTŸT T¥T¨T©T«T®T°T±T²T´T¶T¸T»T¾TÀTû­þ­ÿ­¢Ë¯Ë±Ë²Ëìíïñü !"#$%&'çèéêëìíïðóôõö÷øýöø.@OR¸Æ@I2C_SCLšTI2C_SDA«TGNDöANA_IN.DIPSW0±TDIPSW1©TDIPSW2¾TDIPSW3žTDIPSW4´TDIPSW5¶TDIPSW6¨TDIPSW7»TVGA_R0VGA_R1ïLD_SEG0#öLD_CA0#"LD_SEG1#ç      LD_SEG1#RôLD_CA1#&LD_SEG2#ëPS2_CLK1ÆLD_CA2#'VDD_3V3LD_SEG3#í      LD_SEG3#RýLD_CA3#!LD_SEG4#ðLD_CA4##VGA_VSLD_SEG5#éVGA_B0ìVGA_B1LD_CA5#$      LD_SEG5#RèLD_SEG6#÷PB0°TPB1²TPB2¥TPB3¸TLD_CA6#%LD_SEG7#ìANA_REFøANA_OUTDLD_CA7#   LD_SEG7#RïANA_OUTRVGA_G0ñVGA_G1üSD2AN®TSD2APÀT    LD_SEG0#RõSD2BN TSD2BPŸT      PS2_DATA1¸VGA_HSí  LD_SEG2#RêANA_REFD LD_SEG4#RøANA_INDDIF1N±ËDIF1P¢Ë      LD_SEG6#RóDIF2N¯ËDIF2P²Ëù\ö02 2ª]0xnŒn]02ddd­]ö0Р Å]0ddÙ]0ÐZÐdÝ]0n*nQÀT06ȚÈS®T06ҚÒ\ŸT06úšú^ T06ðšðiï0Ôddm0Ôxxoñ0Ô‚‚q0Ô––uü0Ônnwì0ÔŒŒ¡é0ÔÒºÒ¡í0Ôðºð¡÷0Ôº¡%0Ô,º,      ¡ö0ÔȺÈ¡$0Ô"º"
¡ð0ÔܺÜ¡ì0Ôæºæ¡ç0Ô6º6¡ë0Ôúºú¡&0Ô@º@¡'0ÔJºJ¡"0Ôº¡#0Ôº²£±T06JJ¶£©T06^^¹£žT0666¼£¾T06TTÀ£´T06,,棶T06""꣨T06í£»T06¥°T06¾Ö¾¥²T06´Ö´¥¥T06–Ö–ǧ¸T06ªÖªRà¢Ë06drd1ÿÿJc0LEDIF1PTà±Ë06nrn1ÿÿJm0Y=DIF1NVà²Ë06xrx1ÿÿJw0Y=DIF2PXà¯Ë06‚r‚1ÿÿJ0Y=DIF2N^àö0¤‚®‚hàö0¤n®nqàö0¤x®x†àö0ÂdÂn'õšT06ŒŒ*õ«T06  úû­06Ü@ÜúÆ06æNæú¸06Núþ­06@@@úÿ­06h@h
 0Ô´º´–
í0Ôªª˜
0Ô  á\02d2nÍ]0d*d
]02Z2då\ö02–2 µ]ö0– ]ö02 d ±]0dnå]0ÐdÐnÉ]ö0ЖРí\0ddxdjàö0®d®ncàö0¤d®d   ]0xdŒdÁ]ö0РЪé\0dddnõ\0xdxnsàö0®n®x¿àö0®dÂdñ\ö0d–d ¹]0dné]0Ðddaàö0®x®‚ÞÐ0²ŠÐŠ!Ïø0ªž|ž1ÿÿ¾ž0Y=ANA_REF5Î0²N²X“Î@00v0¨ŸÎ@0X€b€eÑö0:N:XÏø0ªžª¨<Î0²D²NþÏ0džxžËÊö00Ð0ÚÚÐ0²€²ŠÎ@0&v0v1Î0lNvNÏø0 žªžãÐ0Ü:æ:”Ïö0ªÐªÚ¡Î@00vXv&Ó0vN²NØÐ0žŠ²ŠÎ@0XvX€.Î0vNvl®Ìö0v¨vÚæÐ0æ:æDgÑö0:NDN_Ôö0ÌNàN·Õö0àDàNµÕö0ÌDàDšÎ.0vþv1ÿÿ®u0ANA_INBÛ.0XšXeÔö0ÌXàXgÔö0àXàbDÛ.0XvaÔö0àNàX@1ø0|N|žB1ø0|NšN 3ø0X”b”#3ø0X”Xž%3ø0|žXž‡©ö0"b"v§©R0NNÈ©R0NlÌ©R0l^lÑ©R0^D^lÓ©R0JD^DèÐ0æ::#ª0"þ"&CªR0^DšD1ÿÿhC0Y=ANA_OUTŠ
!0Ô¾º¾Tô0ÒÈÜÈVý0ÒÜXõ0ҪܪZè0Ò@Ü@\ø0Ò"Ü"^ó0Ò^Ü^`ê0ÒæÜæbï0Ò|Ü|Çö0 ªªªÉç0 ȪÈËë0 æªæÍí0 ªÏé0 @ª@Ñì0 |ª|Ó÷0 ^ª^Õð0 "ª"FR0^^DHR0ú^RO0ªÒUO0ªª:WO0ª:´:\O0 :ª:b0d:x:­ö0ælæv0Ô^ò^0ÔTòT!
98C0805.Normal](x0
'ÿÿ0'ÿÿ
0eC16:2–å\2ná\C0805
98òC0805.NormalÊ&²0
'ÿÿ0'ÿÿ
0eC220ÐËÊ0¨“ÎC0805
98òC0805.NormalÊ ²0
'ÿÿ0'ÿÿ
0eC21ªÐ”Ϫ¨ÏC0805
K8òR0805.NormalÈ‚00
1'ÿÿìÿ0'ÿÿöÿ0eR80V :\x:bR0805
K8òR0805.Normal´60
'ÿÿöÿöÿ0'ÿÿöÿ0eR86LÒ@Zª@ÏR0805
98òC0805.NormalÊÜN0
'ÿÿ0'ÿÿ
0C20æl­æDæÐC0805
98òC0805.NormalÌÜ0
1'ÿÿìÿ0'ÿÿöÿ0eC34UúHÒRC0805
K8òR0805.Normal ´0
'ÿÿöÿöÿ0'ÿÿöÿ0eR85LÒ"\ª"ÕR0805
K8òR0805.Normal$´ú0
'ÿÿöÿöÿ0'ÿÿöÿ0eR84LÒVªÍR0805
K8òR0805.Normal(´T0
'ÿÿöÿöÿ0'ÿÿöÿ0eR87LÒ^^ª^ÓR0805
òMCP6001T-I/OTG.Normal
©00
1'ÿÿöÿP0'ÿÿöÿZ0eU9/JDÓ©N§©:èÐ"b‡©"&#ªMCP6001T-I/OTG
òXC3S50AN-TQG144B.Normalã–(0
'ÿÿJ0'ÿÿT0e'ÿÿ ^0'ÿÿh0U1*Œd ]Œn]6dRà6nTà6xVà6‚Xà6Œ'õ6–¥     6 *õ
6ªÇ§6´¥6¾¥
6ÈQ6ÒS6Üú6æú6ð^6ú\6ú6í£6ê£6"æ£6,À£66¹£6@ú6J²£6T¼£6^¶£6húXC3S50AN-TQG144
Nò
TPS.Normal­üT0
1'ÿÿ
0'ÿÿ20eTPS4ò^TPS
òXC3S50AN-TQG144E.Normalõ4(0
'ÿÿ@0'ÿÿJ0e'ÿÿ T0'ÿÿ^0MU1*ÔdiÔnuÔxmÔ‚oÔŒwÔ–qÔ ˜
Ôª–
     Ô´

Ô¾Š
ÔÈ     ¡ÔÒ¡
ÔÜ
¡Ôæ¡Ôð¡Ôú¡Ô¡Ô¡Ô¡Ô"¡Ô,¡Ô6¡Ô@¡ÔJ¡ÔTÔ^*dÍ]*nÝ]XC3S50AN-TQG144
ý<òJUMP2X4.NormalDà|Z0
1'ÿÿìÿ0'ÿÿöÿ0eJ7ýrdRà¤dcàrnTà¤nhàrxVà¤xqàr‚Xं^àJUMP2X4
;<òJUMP2X3.NormalEÕ¤:0
'ÿÿìÿ0'ÿÿöÿ0eJ8;šDCªÌDµÕšNB1ÌN_ÔšXBÛÌXeÔJUMP2X3
Nò
TPS.Normal³üJ0
o'ÿÿ
0'ÿÿ20eTPS3òTTPS
98òC0805.NormalöÐND0
'ÿÿìÿ0'ÿÿöÿ0eC23:lN1ÎDNgÑC0805
Nò
TPS.NormalöùJÒ0
'ÿÿ
0'ÿÿ20eTPS2@ÜúTPS
K8òR0805.NormalD´r0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR88LÒ|bª|ÑR0805
98òC0805.Normal½]øx0
1'ÿÿ0'ÿÿ
0eC19:–µ]n±]C0805
Nò
TPS.NormalÿùJ60
1'ÿÿ
0'ÿÿ20eTPS5@@úTPS
Nò
TPS.NormalúJ^0
1'ÿÿ
0'ÿÿ20eTPS6@húTPS
òMCP6546T-I/OTG.NormaløÉlv0
'ÿÿ(0'ÿÿ20eU10-žŠØÐb” 3b€ŸÎv¨®Ìvl.ÎMCP6546T-I/OTG
K8òR0805.NormalüÉ‚”0
'ÿÿìÿ0'ÿÿöÿ0eR17 žÏxžþÏR0805
K8òR0805.Normalʾ00
'ÿÿìÿ0'ÿÿöÿ0eR16Ü:ãд:WR0805
K8òR0805.Normal´ 0
'ÿÿöÿöÿ0'ÿÿöÿ0eR81LÒªXªªÇR0805
K8òR0805.NormalÊl0
'ÿÿìÿ0'ÿÿöÿ0eR18&vÎþvšÎR0805
98òC0805.Normal]Zx0
'ÿÿ0'ÿÿ
0eC17:d–ñ\dné\C0805
K8òR0805.Normal´¾0
'ÿÿöÿöÿ0'ÿÿöÿ0eR82LÒÈTªÈÉR0805
98òC0805.Normalá]Æx0
1'ÿÿ0'ÿÿ
0eC18:ЖÉ]Ðnå]C0805
K8òR0805.Normalʨb0
'ÿÿ0B'ÿÿ
0R19²X5β€ÚÐR0805
K8òR0805.Normal´Ü0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR83LÒæ`ªæËR0805%/GNDý\
(ª0% !ù\%6P^VDD]
(P0%'ÿÿ1öÿöÿ0!
]%/HT^GNDÑ]
ƪ0%!Á]%6PÁ^VDDÕ]
ÆP0% 'ÿÿ1öÿöÿ0!Ù]%/ [^GND“à
¸n0%G!†à%/Ȩ^GNDúÐ
0X0% !eÑ%/àØ^GNDGÌ
lÚ0%r!®Ì%/ðñ^GNDeÊ
&Ú0%t!ËÊ%6Øá^VDDÊ
¨:0%G'ÿÿ1öÿöÿ0!<Î%/Û^GND*Ï
 Ú0%!”Ï%/€ë^GNDÐ
Üv0%G!­%/XB_GNDóÓ
Öb0% !gÔ%/(_GNDh©
v0%G!‡©%6˜
_VDDª
ô0%G'ÿÿ1öÿöÿ0!#ª?&Ì0_OFF_PAGE_OUT_R6
šÈ0&'ÿÿ10R#S&ÍXc^OFF_PAGE_OUT_R>
š¾0&'ÿÿ10R#Q&“ˆa^OFF_PAGE_OUT_R¢
Œ0&G'ÿÿ10R#q&‡p^OFF_PAGE_OUT_R¢
n0&d'ÿÿ10R#m&¨l^OFF_PAGE_OUT_R
¢
x0&'ÿÿ10R#o&’pm^OFF_PAGE_OUT_R¢
‚0&'ÿÿ10R#w&†°r^OFF_PAGE_OUT_R¢
Z0&'ÿÿ10R#i&‘Øj^OFF_PAGE_OUT_R¢
d0&'ÿÿ10R#u&Ïèq^OFF_PAGE_OUT_Rë¢
šæ0&G'ÿÿ10R#^&ÎXg^OFF_PAGE_OUT_Rò¢
šð0&G'ÿÿ10R#\&Aˆe^OFF_PAGE_OUT_R8­
ºú0&'ÿÿ10R#¡&;¸z^OFF_PAGE_OUT_R@­
º¾0&'ÿÿ10R# ¡&@p^OFF_PAGE_OUT_RD­
ºÈ0&_'ÿÿ10R#¡&7xs^OFF_PAGE_OUT_RL­
º0&G'ÿÿ10R#¡&?°‡^OFF_PAGE_OUT_RP­
ºÒ0&'ÿÿ10R#
¡&=ƒ^OFF_PAGE_OUT_RT­
ºð0&'ÿÿ10R#¡&9X…^OFF_PAGE_OUT_R\­
º@0&'ÿÿ10R#¡&<è†^OFF_PAGE_OUT_Rh­
º,0&'ÿÿ10R#¡&3„^OFF_PAGE_OUT_Rl­
º0&'ÿÿ10R#¡&8xˆ^OFF_PAGE_OUT_Rt­
º60&'ÿÿ10R#¡&> †^OFF_PAGE_OUT_R¼­
ºæ0&G'ÿÿ10R#¡&Bˆx^OFF_PAGE_OUT_Rí
ºÜ0&G'ÿÿ10R#¡&5ȃ^OFF_PAGE_OUT_RÌ­
º"0&G'ÿÿ10R#¡&4È}^OFF_PAGE_OUT_RÓ­
º0&G'ÿÿ10R#¡&8‚^OFF_PAGE_OUT_R\ì
‚0&G'ÿÿ10R#'õ& €©^OFF_PAGE_OUT_Rcì
–0& 'ÿÿ10R#*õ&ˆ°«^OFF_PAGE_OUT_R~

–0&'ÿÿ10R#˜
&‰е^OFF_PAGE_OUT_R‚

 0&'ÿÿ10R#–
&qµ^
OFF_PAGE_IN_Rœ
"0&'ÿÿ10#À£&oø‘^
OFF_PAGE_IN_R 
J0&'ÿÿ10#¼£&n0‘^
OFF_PAGE_IN_R¤
T0&'ÿÿ10#¶£&m ^
OFF_PAGE_IN_R¨
@0&'ÿÿ10#²£&tˆ‹^
OFF_PAGE_IN_R¬
0&'ÿÿ10#í£&r(–^
OFF_PAGE_IN_R°
0&'ÿÿ10#æ£&pÀ’^
OFF_PAGE_IN_R´
,0&_'ÿÿ10#¹£&sh^
OFF_PAGE_IN_R¸
0&D'ÿÿ10#ê£&~`•^
OFF_PAGE_IN_RÔ
ÖŒ0&G'ÿÿ10#¥&è™^
OFF_PAGE_IN_RØ
Ö 0&'ÿÿ10#ǧ&}h›^
OFF_PAGE_IN_RÜ
Öª0&'ÿÿ10#¥&| ™^
OFF_PAGE_IN_Rà
Ö´0&D'ÿÿ10#¥&"ð–^
OFF_PAGE_IN_LåÒ
Z00&'ÿÿ1Îÿ0#b&$?_OFF_PAGE_OUT_RùÒ
Ѐ0&G'ÿÿ10R#ÞÐ&&hÉ^
OFF_PAGE_IN_LÚÒ
Z”0&'ÿÿ1Îÿ0#þÏ&6€Þ^OFF_PAGE_OUT_R
ºª0&'ÿÿ10R#
&:ˆ³^OFF_PAGE_OUT_R'
º´0&'ÿÿ10R#Š
&O_OFF_PAGE_OUT_RÈ
ÜÜ0&'ÿÿ10R#`&S%_OFF_PAGE_OUT_Rà
ÜT0&'ÿÿ10R#^&Q8$_OFF_PAGE_OUT_Rì
Ü0&e'ÿÿ10R#\&Rp#_OFF_PAGE_OUT_Rø
Ü60&'ÿÿ10R#Z&P !_OFF_PAGE_OUT_Rü
Üú0&'ÿÿ10R#V&MÀ_OFF_PAGE_OUT_R
Ü 0&'ÿÿ10R#X&N_OFF_PAGE_OUT_R
ܾ0&'ÿÿ10R#T&Tˆ_OFF_PAGE_OUT_R>
Ür0&'ÿÿ10R#b&;0'_
OFF_PAGE_IN_L†
– 0&'ÿÿ1Îÿ0#Ç&<Ð(_
OFF_PAGE_IN_LŽ
–¾0&'ÿÿ1Îÿ0#É&>ˆ,_
OFF_PAGE_IN_L“
–ú0&'ÿÿ1Îÿ0#Í&=€/_
OFF_PAGE_IN_L—
–Ü0&'ÿÿ1Îÿ0#Ë&BP-_
OFF_PAGE_IN_Lœ
–r0&'ÿÿ1Îÿ0#Ñ&Að3_
OFF_PAGE_IN_L 
–T0&'ÿÿ1Îÿ0#Ó&@ 6_
OFF_PAGE_IN_L¤
–60&'ÿÿ1Îÿ0#Ï&?H0_
OFF_PAGE_IN_L¨
–0&'ÿÿ1Îÿ0#Õ&è6_OFF_PAGE_OUT_R’
NÜ0&'ÿÿ10R#ú&¨®^OFF_PAGE_OUT_R–
Nú0&'ÿÿ10R#ú =d920=0.2–B2ª
VGA VIDEO OUT=dÅ ºŒ0=0.ºŒ4œºŒ´LED DISPLAY=dÉ£Ö(0=0.Ö(Z8Ö(PUSH BUTTONS=d€¯J(0=0.J(Ä8J(ÅDIF OUTPUTS=d$ûN¾0=0.N¾zÎN¾aPS/2=d
P0=0.P*`P:1I2C=dåä6Ð0=0.6Ðòà6ÐA/D D/A CONVERTER=dÃ+6¤0=0.6¤x´6¤®BANK 0=dË+>š0=0.>š€ª>š&'BANK 3<dÙ+<JŒÂJ<0<D0-ŒJ<J<Â<dí+<ÖÈXÖ<0<0-ÈÖ<Ö<X=d",šr0=R0.šrÜ‚šr1 DIF IO<d>,dª0d0<0-ªdd0<db,†Š0<0-††Š=dn,r0=0.rŒ‚r1 DIP SWITCHES<dè,F´’F0<0-´FF’<dö,ÒN€NÒ0<R0-NÒNҀ<d- ºT2º 0<0-Tº º 27ds.öÿ070(žÂ7d.žöÿ070(²6Â=d4/6ä0=0.6äªî6ä…See XAPP154 and XAPP1557d]3Â070(Ö<d®¾š†àš¾0<0-¾š†š†à=dVò6î0=D0.6î|ø6îLBLow Pass 10KHz=dýó
Ã0=0.
Ã$Í
Ã&'Seg A=dô
Í0=0.
Í$×
ÍSeg F=dô
á0=a0.
á(ë
áSeg DP=dô
×0=0.
×$á
×¢Seg E=d#ô
10=a0.
1$;
1¢Seg B=d'ô
õ0=0.
õ$ÿ
õSeg C=d+ô
ë0=0.
ë$õ
ëSeg D=d/ô
ÿ0=0.
ÿ$    
ÿëSeg G
PAGE5 - Display and ConnectorsA3iæL÷£M h(ˆì ì    ì    00A11
Lš˜@_
TITLE_MLABQ0Zhù#0A'ÿÿ
¾<0X'ÿÿJ<0'ÿÿ
<0T'ÿÿðP0 'ÿÿ,P0'ÿÿ
(P0'ÿÿ(<0a'ÿÿ"
0a@l!Ù"Ù$Ù&Ù'Ù(Ù*Ù+Ù/Ù4Ù=ÙWÙ^ÙdÙgÙmÙaßbßdßeßhßjßmßrߤ
¿
#$)+016;CDGX[`b{† ¡³ÄÌ%&0NXÇÊÌÏÐâãäéúû

î0ï0û01215161:1O1@I2C_SCL0I2C_SDA&GND51SD1AN1SD1APî0DIPSW0rßDIPSW1eßDIPSW2aßDIPSW3hßDIPSW4jßSD1BNï0DIPSW5dßDIPSW6mßSD1BPû0DIPSW7bßVGA_R0ûVGA_R1úLD_CA0#«
      LD_SEG1#R¶
LD_CA1#¹
VGA_REDÊPS2_CLK1PS2_CLK2³LD_CA2#¿
VDD_3V3N        LD_SEG3#RÖ
LD_CA3#Ê
VDD_5VLD_CA4#ç
VGA_VSäVGA_B0ÌVGA_B1ÇLD_CA5#â
      LD_SEG5#RÍ
PB0"ÙPB1$ÙPB2(ÙPB3+ÙLD_CA6#É
LD_CA7#Ð
      LD_SEG7#Rµ
LED0+VGA_G0
LED1#VGA_G1ãLED2LED3DLED46LED5)LED6;LED71SD2AN61SD2AP21   LD_SEG0#R¤
      VGA_GREENÏSD2BN:1SD2BPO1        PS2_DATA1{ PS2_DATA2¡VGA_HS
   LD_SEG2#Rú
VGA_BLUEâ      LD_SEG4#R¥
      LD_SEG6#RÕ
]gV¾
0&ZÆZjVÑ
0&x”xmV¯
0&–b–pVN0N<N |VN0ä<äF…VN0²<²dVN0€<€‚sVN0N<€<Vç
0ôZþZ Vâ
0ôxþx£VÉ
0ô–þ–£vN0²<ä<¥vN0€<²<çx¸
0BxBæéxN0¬<Þ<ëxN0B<BPõxN0B<V<ûx·
0–æyN0V2V<yN0Þ<ÞŒ     yü
0„d$dyN0<n
yÝ
0„‚ò‚yN0<B<!yª
0¬Ò¬æ#yN0¬<¬ª%yØ
0„ À )yN0Þ<<+yð
0Þ´Þæ3y«
0Rd\d¡vN0ä<¬< #(Ù0‚ÈJȹ^&Ù0Ün܂»^'Ù0‚n‚‚½^*Ù0(n(‚Ö^+Ù0(ª(ÒÊ^"Ù06ª6´ú"N0‚<Ü<Î^$Ù0ܪܾÒ^(Ù0‚ª‚ȧ^!Ù06n6‚¿"N0Ü<6<š#$Ù0ܾJ¾Ã"N0(<(F5#N0Ü<ÜFÁ"N0‚<‚F¦#+Ù0(ÒJÒ”#"Ù06´J´¼"N0626<.N0P@PTwN0n6n@N0F@P@gÙ022XàN0<@<T  ^Ù0FF÷4Ù0ddÂXN0Z@ZT¼N02@2Tñ/Ù0nn¤âN0<@F@N0F@FTÿ=Ù0ZàŒàù4Ù0dÂŒÂmÙ0(vŒv~N0(@(TdÙ0<:Œ:WÙ0PPþß_dß0´::„N0d@dTç_mß0´X"XÐ_aß0´àúàgÙ02XŒXý=Ù0ZZàdÙ0<<:mÙ0((v^Ù0FŒï_bß0´v,vó/Ù0n¤Œ¤×_jß0´t+0òÌòLC0fDfXh0fàff0ôàfà`D0ôê êU510”€”Šn#0¬Ö¬Z60ôôÚôOG0”D”XbD0 ê V)0”þ”l#0ôÖ¬Ö510ò€òŠ510N€NŠø$0NDNXr+0ôÌòÌ©[0ÚDÚX\60ÚôÚT)0ôþ”þ£510Ú€ÚŠX0¬D¬XP;0NNEb0DXN;0ôNM10ôF510f€fŠ`0òDòX[510€Šú00 D Xô510 € ŠG10£510¬€¬Šq(
0öÜÜ›(Ï0(2t'û0öZZ.Ï0ZxZÜÍ*Ð0(à‚à«'ä0ö™(ã0öb'Ê02Z2‚ì-510ªȪÒ·(â0(^2^^'Ê02‚2Œy)510úPP\)Ï0Zx¾x1ÿÿnx0        VGA_GREENj)â0n–¾–¹(â02^2†Í(Ç0ö††Ç(Ì0ö^^'5102´2¾›)510ªn¾nO)Ê02Z¾Z1ÿÿnY0•VGA_RED)510ªnªŒd'Ê0(Z2Z•)510ªP¾P”.â02^n^Ð*Ð0‚ ‚àµ(5102¸2Â\'Ê0(‚2‚Û*é0–¾–“(Ï02Ü2Ã*
0öàà»)510ªÒ¾ÒØ*é0(–¬)510ªŒªÈ)510Üú—)510ªPªnÙ(â02†2Û(â0(†2†—(Ï02ÜZÜÎ)510ªȾȪ)510ªŒ¾Œ›.â0n–n^1ÿÿn•0VGA_BLUE{(Ï022•(Ï0(Ü2ܽ)510ªÒªú)510úÜÜq'ú0ö‚‚{)510P܍(510262@ˆé0–¾¾¾
ˆÐ0‚ ¾ y510€(€|510(€(ž€510Ð(Ђ510(Ð(Úˆ510Ú(ÚŠ510(Ú(ø’510¼(¼”510(¼(О510ž(ž 510(ž(¼Ӎî00Š<Š֍10”<”ٍû00²<²ۍï00¨<¨¯Ž610Ȕ𔱎O10Ȳ𲳎510ܞܼ¹Ž510Ȟܞ»Ž510ÈÚÜÚ½Ž510ÜÐÜÚ¿Ž510Ȁ܀ÁŽ510ȼܼÎ510ÈÐÜÐɎ210ÈŠðŠˎ510ÜÚÜø͎510܀ܞӎ510ܼÜÐَ:10Ȩ𨍲+0òÌÌ’²#0¬ÖÖ—²0fààœ²D0 êꡲ60Úôô¦²)0”þþ«²;0N°²10Á_eß0´ÂðÂL³rß0´¤æ¤O³rß0æ6@6Q³rß0æ6æ¤S³rß0æ¤J¤Y³eß0ð@@@[³eß0ð@ðÂ]³eß0ðÂJÂc³aß0úJ@Je³aß0úJúàg³aß0úàJào³hß0Tþw³jß0^@^y³jß0^{³jß0J³dß0h@hƒ³dß0h:…³dß0:J:‹³mß0"r@r³mß0"r"X³mß0"XJX•³bß0,|@|—³bß0,|,v™³bß0,vJvõ510²zÆzƵ510²z²À,åN0N¬NÊ1åN0&¬&¶8å0v¢v¶?åÄ0bèvèAåÄ0vÞvèEåÄ0vèžèNåN0&¢&¬åN0&¬N¬Kæ{0f&fMæ{0&f:f]æN0&*N*_æN0N*NHcæ 0bfvfeæN0& &*gæN0&*&4mæ 0v\vfoæ{0&\&fSæ 0vfÆf%çÄ0žŽÆŽ'çÄ0žŽžèÀ¶0ž*ž„8ç0v*v4/è³0fèzè1è†0Êff3è¡0ffzf5è³0zèŽè;èÌ0òŽŽAè¡0z\zfCèÌ0ÊèòèEèN0¢*¢HOèN0z*¢*Uè†0¶fÊfWè0ò„„aè†0Ê\ÊfièN0z¬¢¬kèN0¢¬¢Êmè¡0zfŽfqè0ʢʶsèÌ0¶èÊèuèN0z z*yèN0z¢z¬{èN0z¬z¶èÌ0ÊÞÊèƒè510zz…è0Ê Ê*‡è³0zÞzè‰è510zÀèÌ0òŽòè‘èN0z*z4¶0ž„Æ„wè0Ê*ò*oè0Ê*Ê4gè0ò*ò„4ç0v*ž*aæ0v v*eð&0nè‚ègð%0Òf"fið00nf‚fkð&0‚è–èsðX0úp"pyð00‚\‚f{ðX0Òèúè}ðN0ª*ªHƒðN0‚*ª*‰ð%0¾fÒf“ð%0Ò\Òf›ðN0‚¬ª¬ðN0ª¬ªÊŸð00‚f–f¡ð0Ò Ò*£ð0Ò¢Ò¶¥ðX0¾èÒè§ðN0‚ ‚*«ðN0‚¢‚¬­ðN0‚¬‚¶³ðX0ÒÞÒèµð&0‚Þ‚è»ðX0úpúè½ðN0‚*‚4rò510z„tò510z"zxò0\"\Ãò0Ò**Åò0*\Çò0Ò*Ò4 å0è&èÅå0&Þ&èÇå0&è:èßN0(<‚<[ßN06<6FzN0d@n@®ßN0(@2@¾N02@<@0N0P@Z@àN0Z@d@àN0n@nTWÙ0PþŒþm³hß0T@TÉ_hß0´þþq³hß0þJþȹ
0R‚\‚^¿
0R \ ©0„¾Ž¾²Ê
0R¾\¾¦VÐ
0ô´þ´°½
0&´0´XVÏ
0änäæPVï
0²Œ²æHVî
0€ª€æ?VÎ
0NÈNæS       Õ
0\@p@U Ö
0\"p"W µ
0\JpJY ¥
0\,p,[ Í
0\6p6] ¶
0\p_ ¤
0\pa ú
0\ps ú
0þy        Õ
0þ@@{        ¶
0þ}        Ö
0þ""ƒ       ¥
0þ,,…       µ
0þJJ“       ¤
0þ¡       Í
0þ66X
K8R0805.Normal°^xŒ0
'ÿÿ0'ÿÿ
0eR31\‚ªÒ^‚‚»^R0805
Õ<òJUMP2X8_CON.Normal(±$Â0
'ÿÿöÿìÿ0'ÿÿöÿöÿ0eJ26Õ̍²Ì²Ö’²Ö’²à—²à—²êœ²êœ²     ô¡²
ô¡²þ¦²«²
«²°²°²þ¦²JUMP2X8_CON
K8òR0805.Normalkæ>0
'ÿÿ0'ÿÿ
0eR72[&\oæ&4gæR0805
K8òR0805.Normal´^Œ0
'ÿÿ0'ÿÿ
0eR32\(ªÖ^(‚½^R0805
K8òR0805.Normaleèp>0
'ÿÿ0'ÿÿ
0eR76[z\Aèz4‘èR0805
K8òR0805.NormalJ&(–0
'ÿÿ0'ÿÿ
0eR59ƒ2´'2Œ^'R0805
K8òR0805.Normal«¢&0
'ÿÿ0'ÿÿ
0eR50\¬D¬nR0805
K8òR0805.Normal±ðxÀ0
1'ÿÿ0'ÿÿ
0eR70[‚Þµð‚¶­ðR0805
K8òR0805.NormalN&
P0
1'ÿÿìÿ0'ÿÿöÿ0eR57„(Zd'Zt'R0805
_^ò
LED3mm.NormalJ\b0
'ÿÿ<0'ÿÿF0eD2afXLf€FLED3mm
K8òR0805.NormalR&
x0
1'ÿÿìÿ0Ÿ'ÿÿöÿ0eR58…(‚\'‚q'R0805
K8òR0805.NormalP\&0
'ÿÿ0'ÿÿ
0eR51\fDLfhR0805
K8òR0805.Normal³(
T0
'ÿÿìÿ0'ÿÿöÿ0eR63„(^·(^Ç(R0805
K8òR0805.Normalò&0
'ÿÿ0L'ÿÿ
0eR52\ Dú bR0805
§^ò4LED7_12PIN.NormalVð0
1'ÿÿÒØÿ04'ÿÿÒâÿ0eLD1Æ“     {      s      "}      ,ƒ     6¡     @y      J…          Næ?V
€æHV²æPVäæXV4LED7_12PIN
GFòBC856SMD.Normal V:ª0
'ÿÿ0'ÿÿ
0eQ7+NÈ?V0´°N pVBC856SMD
klò
DIPSW8.NormalW^0
'ÿÿdìÿ0'ÿÿdöÿ0eSW4k(2<F    PZýd÷nñ nTà
dT„ZTXPT.
FT<Tà2T¼(T~DIPSW8
K8òR0805.NormalèpÀ0
'ÿÿ0'ÿÿ
0eR78[zÞ‡èz¶{èR0805
{zòPUSH050x050.Normals"P0
'ÿÿ0'ÿÿ
0eSW3{(n½^(FÃ"PUSH050x050
K8òR0805.Normal$Vª0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR48‚&´°þ´¦VR0805
GFòBC856SMD.NormalÝxÊ–0
'ÿÿ0'ÿÿ
0eQ2+Þ´+yÀ %yÞŒyBC856SMD
_^ò
LED3mm.Normalþb0
'ÿÿ<0'ÿÿF0eD3a Xú €ôLED3mm
K8òR0805.Normal¿*
Ö0
1'ÿÿìÿ0Ÿ'ÿÿöÿ0eR66L(àÍ*àÃ*R0805
GFòBS170SMD.NormalùäDÔ0
1'ÿÿ0'ÿÿ(0eQ11bè?åNÊ,å:èÇåBS170SMD
K8òR0805.Normaláxfx0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR42‚„‚
y\‚ÈR0805
_^ò
LED3mm.NormalÐb0
'ÿÿ<0'ÿÿF0eD4aÚX©Ú€£LED3mm
{zòPUSH050x050.Normal}"xP0
'ÿÿ0'ÿÿ
0eSW2{‚n»^‚FÁ"PUSH050x050
GFòBS170SMD.Normaloð R0
'ÿÿ0'ÿÿ(0eQ8¾f‰ðªH}ð–fŸðBS170SMD
K8òR0805.NormalB_–0
'ÿÿôÿöÿ0'ÿÿ
öÿ0eR37\´×_ŒR0805
{zòPUSH050x050.Normal"ÒP0
'ÿÿ0'ÿÿ
0eSW1{Ün¹^ÜF5#PUSH050x050
K8òR0805.NormalÑ(
|0
5'ÿÿìÿ0'ÿÿöÿ0eR64…(†Û(†Í(R0805
K8òR0805.Normal£'
þ0
1'ÿÿìÿ0'ÿÿöÿ0eR67L(Ø*«'R0805
§^ò4LED7_12PIN.Normalðvzð0
1'ÿÿÒØÿ04'ÿÿÒâÿ0eLD0Æp_  p]      pa      p"U      p,Y      p6[      p@S      pJW           ¬æ!y
Þæ+yæûxBæçx4LED7_12PIN
K8òR0805.Normal§Ð&0
'ÿÿ0'ÿÿ
0eR53\ÚD©Ú\R0805
{zòPUSH050x050.Normal…",P0
'ÿÿ0'ÿÿ
0eSW0{6n§^6F[ßPUSH050x050
K8òR0805.NormalÕ((š0
'ÿÿ0'ÿÿ
0eR65ƒ2¸µ(2Ù(R0805
K8òR0805.NormalwðÈÀ0
1'ÿÿ0'ÿÿ
0eR71
ÒÞ³ðÒ¶£ðR0805
K8òR0805.Normalïxf´0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR44‚„¾©\¾²R0805
K8òR0805.NormalJ_–00
1'ÿÿôÿöÿ0'ÿÿ
öÿ0eR38\´:ß_Œ:R0805
_^ò
LED3mm.NormalUþb0
'ÿÿ<0'ÿÿF0eD7aXE€[LED3mm
NjòSATA_DATA.Normaluâv0
'ÿÿöÿ0'ÿÿn0eJ28p      €yŠӍ”֍žž¨ۍ²ٍ¼’Ð€     Úˆ      SATA_DATA
_^ò
LED3mm.NormalIŠb0
'ÿÿ<0'ÿÿF0eD5a”XO”€ULED3mm
K8òR0805.NormalN_–N0
'ÿÿôÿöÿ0'ÿÿ
öÿ0eR39\´Xç_ŒXR0805
NjòSATA_DATA.Normalw–v0
'ÿÿöÿ0'ÿÿn0eJ29p È€¿ŽÈŠɎÈ”¯ŽÈž¹ŽÈ¨َȲ±ŽÈ¼ÁŽÈÐÎ    ÈÚ»Ž     SATA_DATA
K8òR0805.NormalYþ&0
1'ÿÿ0'ÿÿ
0eR56\DEGR0805
K8òR0805.Normaly(
Ò0
1'ÿÿìÿ0'ÿÿöÿ0eR60„(ܕ(Üq(R0805
K8òR0805.NormalMŠ&0
'ÿÿ0'ÿÿ
0eR54\”DO”VR0805
K8òR0805.NormalðÈ>0
1'ÿÿ0'ÿÿ
0eR69
Ò\“ðÒ4ÇòR0805
K8òR0805.NormalR_–l0
'ÿÿôÿöÿ0'ÿÿ
öÿ0eR40\´vï_ŒvR0805
GFòBS170SMD.Normal9è˜R0
1'ÿÿ0'ÿÿ(0eQ12¶fUè¢HEèŽfmèBS170SMD
GFòBC856SMD.NormalEVlŒ0
1'ÿÿ0'ÿÿ
0eQ6+€ªHVb–mV€‚VBC856SMD
K8òR0805.Normal?èÀÀ0
'ÿÿ0'ÿÿ
0eR79[ÊށèʶqèR0805
K8òR0805.NormalÿxfZ0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR41‚„d       y\d3yR0805
K8òR0805.Normalƒ(
ú0
'ÿÿìÿ0'ÿÿöÿ0eR61…(›(™(R0805
Š‹òMINIDIN6_PS2.Normalº'Ð\0
1'ÿÿöÿ0'ÿÿP0eJ31ŒÆfSæþÿÆpÆzõÆŽ%çûÿƘƄ¶MINIDIN6_PS2
Š‹òMINIDIN6_PS2.Normal¼'$\0
1'ÿÿöÿ0'ÿÿP0eJ32Œf1èþÿpzƒèŽ;èûÿ˜„WèMINIDIN6_PS2
K8òR0805.NormalöD&0
'ÿÿ0'ÿÿ
0eR55\NDøNPR0805
Ž–òDB15F_3L_0.Normal¾'ÈF0
'ÿÿöÿ0'ÿÿ 0eJ27Ž¾ZO)¾x\)¾–j)üÿ¾´¾P•)¾n›)¾Œª)øÿ¾ª    ¾ÈÎ)öÿ¾dõÿ¾‚¾ 
ˆ
¾¾ˆòÿ¾ÜúÜ)úPy)¾Ò»)
DB15F_3L_0
GFòBC856SMD.NormalMVžn0
'ÿÿ0'ÿÿ
0eQ5+²ŒPV”xjV²d…VBC856SMD
GFòBS170SMD.Normal‘ð Ô0
1'ÿÿ0'ÿÿ(0eQ9¾è¥ðªÊð–èkðBS170SMD
Õ<òJUMP2X8_CON.Normal³J,0
'ÿÿöÿìÿ0'ÿÿöÿöÿ0eJ25Õ@6O³@6O³@@Y³@@Y³@Jc³@Jc³@Tm³@Tm³   @^w³
@^w³@h³@r‹³
@r‹³@|•³@|•³@h³JUMP2X8_CON
GFòBC856SMD.Normaly˜´0
1'ÿÿ0'ÿÿ
0eQ3+¬Ò!yŽ¾©¬ª#yBC856SMD
K8òR0805.Normal˜^,Œ0
'ÿÿ0'ÿÿ
0eR29\6ªÊ^6‚§^R0805
K8òR0805.Normal‹((0
1'ÿÿ0'ÿÿ
0eR62ƒ26(2{(R0805
K8òR0805.NormalQæl>0
1'ÿÿ0'ÿÿ
0eR73[v\mæv48çR0805
K8òR0805.Normalf_–¸0
'ÿÿôÿöÿ0'ÿÿ
öÿ0eR34\´ÂÁ_ŒÂùR0805
K8òR0805.Normal%åÀ0
1'ÿÿ0'ÿÿ
0eR74[&ÞÅå&¶1åR0805
K8òR0805.NormalMèÀ>0
'ÿÿ0'ÿÿ
0eR77[Ê\aèÊ4oèR0805
_^ò
LED3mm.Normal֏b0
1'ÿÿ<0'ÿÿF0eD0aòXò€LED3mm
K8òR0805.Normal—ðx>0
1'ÿÿ0'ÿÿ
0eR68[‚\yð‚4½ðR0805
GFòBC856SMD.NormalUVÐP0
'ÿÿ0'ÿÿ
0eQ4+änXVÆZgVäF|VBC856SMD
_^ò
LED3mm.NormalDb0
1'ÿÿ<0'ÿÿF0eD6aNXøN€LED3mm
K8òR0805.Normalj_–š0
'ÿÿôÿöÿ0'ÿÿ
öÿ0eR33\´¤L³Œ¤óR0805
<òJUMP4.Normal.ò,R0
1'ÿÿìÿ0'ÿÿöÿ0eJ30"\xò"fgð"psð"ztòJUMP4
K8òR0805.Normal*ålÀ0
1'ÿÿ0'ÿÿ
0eR75[vÞAåv¶8åR0805
K8òR0805.Normalyf–0
'ÿÿöÿöÿ0'ÿÿöÿ0eR43‚„ %y\ ^R0805
GFòBS170SMD.Normal[æDR0
1'ÿÿ0'ÿÿ(0eQ10bfcæNH_æ:fMæBS170SMD
K8òR0805.Normaln_–Ö0
'ÿÿôÿöÿ0'ÿÿ
öÿ0eR35\´àÐ_ŒàÿR0805
K8òR0805.Normalÿè&0
1'ÿÿ0'ÿÿ
0eR49\òDòtR0805
K8òR0805.Normal]VŒ0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR47‚&–mVþ–£VR0805
GFòBC856SMD.Normalyüx0
1'ÿÿ0'ÿÿ
0eQ1+–ûxò‚
ynyBC856SMD
K8òR0805.Normalr_–ô0
1'ÿÿôÿöÿ0'ÿÿ
öÿ0eR36\´þÉ_ŒþR0805
K8òR0805.NormalaVn0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR46‚&xjVþx VR0805
K8òR0805.Normal¬^Ҍ0
1'ÿÿ0'ÿÿ
0eR30\ܪÎ^܂¹^R0805
_^ò
LED3mm.Normal¡¢b0
'ÿÿ<0'ÿÿF0eD1a¬X¬€£LED3mm
K8òR0805.NormaleVP0
1'ÿÿöÿöÿ0'ÿÿöÿ0eR45‚&ZgVþZVR0805
GFòBC856SMD.Normaly.Z0
'ÿÿ0'ÿÿ
0eQ0+Bxçx$d    yBPëxBC856SMD
GFòBS170SMD.Normal_è˜Ô0
'ÿÿ0'ÿÿ(0eQ13¶èsè¢ÊkèŽè5èBS170SMD!%6VDDåx
L(0%G'ÿÿ1öÿöÿ0e!y%6‘VVDD‰"
,(0%d'ÿÿ1öÿöÿ0!¼"%6ÐVVDD[
d,0%G'ÿÿ1öÿöÿ0!w%/ÖVGNDT
\Š0%G!F%/P=WGND§
¢Š0%G!£%/ˆBWGNDû
èŠ0%G!%/((WGNDü
DŠ0%G!%/À+WGNDK
þŠ0%d![%/Ø?WGNDS
ŠŠ0%!U%/HWGND¡
Њ0%!£%/¸3WGNDø
Š0%G!ô%/XAWGNDÅ(
(Â0%d!µ(%/˜{WGND`&
(¾0%e!'%/¨qWGND(
(@0%e!(%/˜WGNDŒ)
 ú0%e!½)%/ÐOWGNDt)
ú0%!)%/H„WGND®
ø0%G!Š%/ WGND·Ž
Òø0%G!ˎ%/€¶WGND¶
¨À0%!Ƶ%6 äWVDDVå
˜0%G'ÿÿ1öÿöÿ0!Nå%4€òWVDD‡å
l˜0%G'ÿÿ1öÿöÿ0!8å%6˜íWVDDWæ
0%G'ÿÿ1öÿöÿ0»!eæ%4xýWVDDsæ
l0%G'ÿÿ1öÿöÿ0¥!aæ%4 6XVDD-è
À0%G'ÿÿ1öÿöÿ0!…è%/€.XGNDIè
üÀ0%G!‰è%6@1XVDDSè
p˜0%G'ÿÿ1öÿöÿ0…!yè%6P*XVDD[è
p0%'ÿÿ1öÿöÿ0†!uè%4`(XVDDè
À˜0%G'ÿÿ1öÿöÿ0ø!qè%4'XVDDcð
È0%G'ÿÿ1öÿöÿ0!¡ð%6 UXVDD‡ð
x˜0%G'ÿÿ1öÿöÿ0¹!«ð%6 ^XVDDð
x0%'ÿÿ1öÿöÿ0ó!§ð%4¨[XVDD¹ð
Ș0%G'ÿÿ1öÿöÿ0§!£ð%/HXXGND?ò
„0%G!ròB&3àaX
OFF_PAGE_IN_LÅV
êP0&G'ÿÿ1Øÿ0#V&4(vV
OFF_PAGE_IN_LÍV
ên0&G'ÿÿ1Øÿ0# V&5PyV
OFF_PAGE_IN_LÓV
êŒ0&G'ÿÿ1Øÿ0#£V&6zV
OFF_PAGE_IN_LÚV
êª0&G'ÿÿ1Øÿ0#¦V&8¨tX
OFF_PAGE_IN_Lóx
Hx0&G'ÿÿ1Øÿ0#È&9ðpX
OFF_PAGE_IN_Lùx
H–0&e'ÿÿ1Øÿ0#^&:ˆqX
OFF_PAGE_IN_Ly
H´0&G'ÿÿ1Øÿ0#²&7àsX
OFF_PAGE_IN_L1y
HZ0&G'ÿÿ1Øÿ0#3y&è¡VOFF_PAGE_OUT_R‚#
JÈ0&G'ÿÿ10R#¦#&|`ÏVOFF_PAGE_OUT_Rt#
Jª0&'ÿÿ10R#”#&}øÏVOFF_PAGE_OUT_R}#
J´0&G'ÿÿ10R#š#&~hÈVOFF_PAGE_OUT_R‡#
J¾0&'ÿÿ10R# #&m@§VOFF_PAGE_OUT_RŠ_
Jš0&G'ÿÿ10R#S³&q˜ËWOFF_PAGE_OUT_R’_
J0& 'ÿÿ10R#{³&sÖWOFF_PAGE_OUT_Rz_
JN0&r'ÿÿ10R#³&oÈÚWOFF_PAGE_OUT_Rv_
JÖ0&G'ÿÿ10R#g³&tˆÓWOFF_PAGE_OUT_R†_
Jl0&G'ÿÿ10R#™³&r ßWOFF_PAGE_OUT_R‚_
J00&G'ÿÿ10R#…³&pÙWOFF_PAGE_OUT_RŽ_
Jô0&'ÿÿ10R#q³&jxpX
OFF_PAGE_IN_LQ
ê0&G'ÿÿ1âÿ0#M&hx<W
OFF_PAGE_IN_Lµ
êô0&r'ÿÿ1âÿ0#T&eð2W
OFF_PAGE_IN_LÉ
êÌ0&G'ÿÿ1âÿ0#l&iè%W
OFF_PAGE_IN_L¯
êþ0&'ÿÿ1âÿ0#N&gP9W
OFF_PAGE_IN_L»
êê0&G'ÿÿ1âÿ0#Z&d°W
OFF_PAGE_IN_LÍ
êÂ0&G'ÿÿ1âÿ0#r&f€/W
OFF_PAGE_IN_LÁ
êÖ0&G'ÿÿ1âÿ0#f&_HW
OFF_PAGE_IN_LÅ
êà0&G'ÿÿ1âÿ0#`&‰HW
OFF_PAGE_IN_Lµ'
ìÖ0&G'ÿÿ1Øÿ0#Ã*&‘p€W
OFF_PAGE_IN_Lo(
ìú0&G'ÿÿ1Øÿ0#™(&ðXW
OFF_PAGE_IN_L‡(
ìÒ0&G'ÿÿ1Øÿ0#q(&ˆCW
OFF_PAGE_IN_L§'
ìþ0&'ÿÿ1Øÿ0#«'&’¸UW
OFF_PAGE_IN_L½(
ìT0&G'ÿÿ1Øÿ0#Ç(&†HoW
OFF_PAGE_IN_Lƒ'
ìx0&G'ÿÿ1Øÿ0#q'&“8W
OFF_PAGE_IN_L¯(
ì|0&G'ÿÿ1Øÿ0#Í(&‡xmW
OFF_PAGE_IN_Ly'
ìP0&G'ÿÿ1Øÿ0#t'&ËðGWOFF_PAGE_OUT_RžŽ
<¨0&G'ÿÿ10R#ٍ&ÊФWOFF_PAGE_OUT_R¢Ž
<ž0&'ÿÿ10R#ۍ&ÎP¦WOFF_PAGE_OUT_Rǎ
ð¨0&'ÿÿ10R#±Ž&ÏÀªWOFF_PAGE_OUT_Rю
ðž0&'ÿÿ10R#َ&Ìà¹WOFF_PAGE_OUT_R׎
ðŠ0&'ÿÿ10R#¯Ž&Íð¨WOFF_PAGE_OUT_Rݎ
ð€0&'ÿÿ10R#Ɏ&ÉеWOFF_PAGE_OUT_Rgœ
<Š0&'ÿÿ10R#֍&ȤWOFF_PAGE_OUT_Rnœ
<€0&G'ÿÿ10R#Ӎ&n`£WOFF_PAGE_OUT_R~_
J¸0&G'ÿÿ10R#]³&hÏW
OFF_PAGE_IN_Lå
\\0&G'ÿÿ1Äÿ0#3è&ðX
OFF_PAGE_IN_LBæ
\0&G'ÿÿ1Äÿ0#Kæ&pôW
OFF_PAGE_IN_L¡ï
d\0&G'ÿÿ1Øÿ0#ið&        Ð@X
OFF_PAGE_IN_L¨ï
dÞ0&G'ÿÿ1Øÿ0#eð& 9X
OFF_PAGE_IN_L
\Þ0&'ÿÿ1Äÿ0#/è&XX
OFF_PAGE_IN_L”
Þ0&d'ÿÿ1Äÿ0# å&P(eX
OFF_PAGE_IN_Lç
R0&'ÿÿ1Îÿ0#U        &Tˆ†X
OFF_PAGE_IN_Lë
R@0&d'ÿÿ1Îÿ0#W        &OÀ‰X
OFF_PAGE_IN_Lï
R0&d'ÿÿ1Îÿ0#a        &M¨X
OFF_PAGE_IN_Ló
Rú0&'ÿÿ1Îÿ0#_       &SàŒX
OFF_PAGE_IN_L÷
R60&'ÿÿ1Îÿ0#S        &Qà‚X
OFF_PAGE_IN_Lû
R"0&'ÿÿ1Îÿ0#Y        &NˆŠX
OFF_PAGE_IN_Lÿ
R0&'ÿÿ1Îÿ0#]        &RŒX
OFF_PAGE_IN_L
R,0&'ÿÿ1Îÿ0#[        &PP‹X
OFF_PAGE_IN_Lw        
ô0&'ÿÿ1Îÿ0#}       &Tˆ–X
OFF_PAGE_IN_L      
ô@0&'ÿÿ1Îÿ0#…      &Q˜X
OFF_PAGE_IN_L‰       
ô"0&'ÿÿ1Îÿ0#ƒ      &RP—X
OFF_PAGE_IN_L       
ô,0&'ÿÿ1Îÿ0#¡      &N¨™X
OFF_PAGE_IN_L‘      
ô0&'ÿÿ1Îÿ0#{       &MÀ•X
OFF_PAGE_IN_L—      
ôú0&'ÿÿ1Îÿ0#“     &Oà˜X
OFF_PAGE_IN_L›      
ô0&'ÿÿ1Îÿ0#s       &SpŽX
OFF_PAGE_IN_LŸ       
ô60&'ÿÿ1Îÿ0#y       =Xd7Vør0=G0.ørr‚ørLED DISPLAY=Xd$‚xÜ0=0.xÜüìxܧPUSH BUTTONS=Xd–‚x”0=G0.x”ü¤x”¾DIP SWITCHES=XdƂ‚h0=G0.‚hÜ~‚h#Switch 1 corespond
with MSB (bit 7)=Xd/„äÆ0=0.äÆ2ÖäÆ«LED BAR=Xd† @0=G0. @öV @° 0.7 Vpp double
terminated 75 Ohm=XdP†(&0=G0.(&¸6(&±
VGA VIDEO OUT=Xdp† ^0=G0. ^æh ^¢TTL Level Sync=XdK‰ž0=0.ž¦*žPS/2 PORTS (5V TOLERANT)=XdV‘0=0.ä&¶DIFERENCIAL IN/OUT=XdòF0=0.Fp*F`I2C INTERFACE (5V TOLERANT)7XdøÞ
07D0(ú7Xd        à070(²7Xdšà¸
07G0(¤ˆ7XdḤ070(¤¤ˆä7Xd‚á”070(ø87XdŽá¤”070(¤øˆ87Xdjâzê070(œXJ47Xd%f
070(œJDHierarchy
°zlÞøËpa|lÞøËHierarchyÿÿÿÿÿÿÿÿÿÿÿÿë‚NSchematicÿÿÿÿÿÿÿÿÿÿÿÿLdLibraryÿÿÿÿÿÿÿÿÿÿÿÿF  

þÿÿÿ !"#$%&'()*+,-./0123456789:;<=>?@ABCDEþÿÿÿGHIJKLMNOPþÿÿÿRXTUVWZYþÿÿÿ[þÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ
SCHEMATIC1óC”N24231C–N24249C“N24251C•N24253CšN07409C™N07415CN07421C—N07427C˜N07433CžN07439CœN07445C›N07451CÙN31009CˆN22125CÔN30971CÖN30951C?LN197552C†N22119C‚N22079C…N22104C‡N22122C×N31013CØN30989CƒN22088C„N22096CÕN31019CÛN30985C@LN197801CäN06136CçN06394CèN06476CåN06223CéN06557CæN06313CêN06657CãN05189CŸ"N59441C"N58963Cž"N58687C "N59451C¦"N61543C¨"N61555CÁVGA_REDC      VGA_GREENCÏN10957CÃVGA_BLUECÐN10968CL VDD_BANK1CÿIN0CJN1CJN2CJN3CJN4CJN5CJN6CJN7CnLIP0CoLIP1CpLIP2CqLIP3CrLIP4CsLIP5CtLIP6CuLIP7CvLIP8CwLIP9CxLIP10CyLIP11CzLIP12C{LIP13C|LIP14C}LIP15C~LIP16CLIP17C€LIP18CLIP19C‚LIP20CƒLIP21C„LIX22C…LIX23C†LIX24C‡LIX25CˆLIX26C‰LIX27CŠLIX28C‹LIX29CŒLIX30CLIX31CŽLX31CLX30CLX29C‘LX28C’LX27C“LX26C”LX25C•LX24C–LX23C—LX22C˜LP0C™LP2CšLP1C›LP3CœLP4CLP5CžLP6CŸLP7C LP8C¡LP9C¢LP10C£LP11C¤LP12C¥LP13C¦LP14C§LP15C¨LP16C©LP17CªLP18C«LP19C¬LP20C­LP21C®LP22C¯LP23C¥"I2C_SCLCIDIPSW3CXSD2BPCYSD2BNCÅPB2CODIPSW6CNDIPSW1C§"I2C_SDACWSD2ANCÆPB0CPDIPSW0CÄPB1CLDIPSW4CKDIPSW5CÇPB3CMDIPSW7CJDIPSW2CZSD2APC8$N64005C;$N64014C<$N64017CJ$DIF1PCK$DIF2NCH$DIF1NCI$DIF2PC¼VGA_B0C¹VGA_HSC¾VGA_R1CºVGA_G0C»VGA_G1C½VGA_R0CÀVGA_VSC¿VGA_B1CJLD_CA7#CJLD_CA3#CJLD_CA0#CJLD_CA4#CJLD_CA5#CJLD_CA6#CJLD_CA1#CJLD_CA2#C$JLD_SEG1#CAL LD_SEG5#RCJLD_SEG5#CBL    LD_SEG2#RC#JLD_SEG2#C JLD_SEG7#C!JLD_SEG3#CCL        LD_SEG7#RCJLD_SEG4#CDL    LD_SEG6#RCEL      LD_SEG1#RCFL      LD_SEG0#RCJLD_SEG0#C"JLD_SEG6#CGL  LD_SEG4#RCHL      LD_SEG3#RCEANA_REFCJN119011CÿDANA_INCþDN118416C_LN200530CEANA_OUTC¡"      PS2_DATA1C¢"PS2_CLK1ClLN201217CmLN201219CìLED5CíLED6CëLED7CUSD1BPCñLED0C¤"     PS2_DATA2CòLED1CSSD1BNC£"PS2_CLK2CðLED2CïLED3CüDANA_INDCîLED4CEANA_REFDC}SPI_DICõTCKCöTDOC÷TDICôTMSC_VS0C^VS1C]VS2C
N03524C
N03324CxN51046C@$N63818CcVDD_1V2CbVDD_5VCðN02505CóN02521CñN02508CòN02511CN09709CN09721CN09715CíGN128209CøPROGCòSPI_WP#ChN01358CkM0CfN01338CjM1CgN01352CiM2CVSD1ANCTSD1APC\       CLK100MHZC|SPI_CLKC~SPI_DOCeGNDCEANA_OUTDCøDONECdVDD_3V3CzSPI_CS#RQ0Rà3#FR
M0RI0RJ—îB/BD8BD9BBhC
‘DqCDrCBYõDÊDËDÌDÍDÎDÏDÐDÑDÒDÓ  DÔ
DÕDÖD×
DØDÙDÚDÛDÜDÝDÞDßDàDáDâDãDäDåB:BDCBDDBBüƒ(DDBEBDNBDOBB®WDïDðDñDòDóDôDõDöD÷Dø    Dù
DúDûDü
DýDþB    =¸VD=D=B&:öR
DO:DP:DQ:DR:DS:DT:DU:DV:DW:DX:       BPBDYBDZBBñ‹(DúDûBàtzDDDDDDDDB[BDdBDeBB°?Ðu
DÙ?DÚ?DÛ?DÜ?DÝ?DÞ?Dß?Dà?Dá?Dâ?     B
ˆ©DDBÃBKŽDÌBDÍBBY:þRDª:D«:D¬:D­:D®:D¯:D°:D±:D²:D³:    D´:
Dµ:D¶:D·:
D¸:D¹:Dº:D»:D¼:D½:BfBDoBDpBB=´WD(=D)=BŒ©DDBÎBOŽD×BDØBBôLµ)DýLDþLB~£'D‡DˆBqB#DzBD{BB*=¸W0Dë=Dì=Dí=Dî=Dï=Dð=Dñ=Dò=Dó=Dô=    Dõ=
Dö=D÷=Dø=
Dù=Dú=Dû=Dü=Dý=Dþ=Dÿ=D>D>D>D>D>D>D>D>D>D       >D
>D> D>!D
>"D>#D>$D>%D>&D>'D>(D>)D>*D>+D>,D>-D>.D>/B ©D)D*BÙBSŽDâBDãBB!DßÅD*DD+DB¥AQ]D®AD¯AB|B'D…BD†BBñHãô,D¢ID£ID¤ID¥ID¦ID§ID¨ID©IDªID«I    D¬I
D­ID®ID¯I
D°ID±ID²ID³ID´IDµID¶ID·ID¸ID¹IDºID»ID¼ID½ID¾ID¿IDÀIDÁIDÂI DÃI!DÄI"DÅI#DÆI$DÇI%DÈI&DÉI'DÊI(DËI)DÌI*DÍI+B‡B+DBD‘BB>ÀWD$>D%>BLDUDVBxH!ÁD…HD†HD‡HB’B/D›BDœBBO;ðTDX;DY;B®î#D·D¸BA³D‚DƒD„D…D†D‡DˆD‰DŠD‹        DŒ
DDŽD
DD‘BB3D¦BD§BBäBcŽDíBDîBBð(±D1D2D3D4D5D6D7D8D9D:      D;
D<D=D>
D?D@B™§D¢D£B‚B_D‹DŒB¨B7D±BD²BBµº'DÎDÏDÐDÑDÒDÓBNHÅ·DgHDhHDiHDjHDkHDlHB¤KD­KD®KBÉ:SDÒ:DÓ:BZ;üTDc;Dd;BÔ¼'DíDîDïDðDñDòBïBkŽDøBDùBB¾'DLDMDNDODPDQDRDSDTDU        DV
DWDXDY
DZD[D\BaJ_DjDkB™KD¢KD£KBÁ DàDâ Dã Dä Då Dæ Dç Dè Dé B¯¡D¸D¹BX!ùäDe!Df!Dg!BHÊ·DHDHDHDHBúBoŽDCDCB]³(DfDgB£N_D¬D­BbKDkKDlKBmHÏ·DvHDwHBCsŽDCDCBˆ".òD™"Dš"D›"Dœ"BlR_DuDvB¡
 D²
D´
Dµ
Be;UD¾;D¿;DÀ;DÁ;DÂ;DÃ;DÄ;DÅ;DÆ;DÇ;       DÈ;
DÉ;DÊ;DË;
DÌ;DÍ;DÎ;DÏ;DÐ;DÑ;DÒ;DÓ;Bóu      DDDDDDDDD BîGÓ·D÷GDøGBm«DvDwB—H™¼
DÀHDÁHDÂHDÃHDÄHDÅHDÆHDÇHDÈHDÉH     B!w     DFDGDHDIDJDKDLDMDNB‰Au]D’AD“AB$H×·D-HD.HBƒKDŒKDKBmK DvKDwKB:DRD:D:BxK$DKD‚KBÔ;U,D…<D†<D‡<Dˆ<D‰<DŠ<D‹<DŒ<D<DŽ<        D<
D<D‘<D’<
D“<D”<D•<D–<D—<D˜<D™<Dš<D›<Dœ<D<Dž<DŸ<D <D¡<D¢<D£<D¤<D¥< D¦<!D§<"D¨<#D©<$Dª<%D«<&D¬<'D­<(D®<)D¯<*D°<+B=úVD=D=B+Ä©D4D5B˜f_D¡D¢BŽK(D—KD˜KBC‰ŽDCDCBEðvDvDwDxDyDzD{D|D}D~D     D€
DBÿj_DD     BªÑ(D³D´B
‡
D
D
B:PRD$:D%:Bn_D–D—BsÕ(D|D}B
‰
D
D
BŸA´ÛD¤AB6ЩD?D@Bwr_D€DBhÝxDuDvDwBè<VDñ<Dò<B<"oðDI"DJ"DK"BxáxDD‚Bh!%åDq!Dr!B”¿*DDžBAØ©DJDKBs!*åD|!D}!BL"wðDU"DV"BÙI
©DîIDïIDðIDñIDòIBºöDÃDÄBƒïxDŒDBÎIâkD×IDØIB/HRÓDHHDIHDJHDKHDLHDMHBEøÉD/ED0ED1ED2ED3EBW"ðD`"Da"BEEÕDšED›EDœEDEDžEDŸEBó<.VDü<Dý<BôVD%D&D'D(D)D*D+D,D-D. D/
D0B5˜^D>D?BÙ VDæDçDèBkEüÉDtEDuEB&>XD/>D0>BbDkDlBÐòDÙDÚBILÈDRLDSLBé$VDòDóB4EÊD=ED>EB$öùD
$BTLÌD]LD^LB`EÊDiEDjEBŽÿxD—D˜BUEÊD^ED_EBb"‘ðDo"Dp"Dq"Boˆ@DxDyBÅþDÎDÏB$ÿùD$B™yD¦D§D¨B        ¬^DDBr"—ðD{"D|"B‘HD–HB$úD"$Bû:bTD;D;B½]DËDÌBEÊDEDEB‹HDHBEÖÝD
EDEB`L­DeLBºKDDÃKDÄKBA÷DJDKB@°^DIDJBÎHDÓHB?EÊDHEDIEBÔHDÙHBKs"DTDUBƒUDŒDB´^DDBfL³DkLB©yD²D³BvEÊDED€EB6ÿD?D@BWYD`DaB´yDÁDÂDÃB0G©D9GD:GB}"D(D)B³ŸDÛDÜDÝDÞDßDàBó
jDDDDDDDDB1EVD>D?D@BÄyDÑDÒDÓB~!QæD‡!Dˆ!B*"D3D4B;zTD;D;BV…"D_D`B”A‘ÒDADžABAMVDNDODPB}"±ðD†"D‡"BhJ&DqDrB¤!9èD±!D²!D³!BÜHúDíHDîHDïHDðHB   9¬QD9D9B‰N&D’D“BâG·DëGDìGB¥/D¾D¿DÀDÁDÂDÃB‰![æD–!D—!D˜!B´!?èD½!D¾!BQUVD^D_D`BŸR&D¨D©BÍá]DÖD×B°LhDµLBa]VDjDkBÜG>ùDáGBÄ/     DÍDÎBCϐD$CD%CBlaVDuDvB¿!MèDÈ!DÉ!B™!kæD¢!D£!B;’TD;D;Bþ<tVD=D=BÏ3       DØDÙB&CӐD/CD0CBß:¢SDè:Dé:B9ÀQ0DÕ9DÖ9D×9DØ9DÙ9DÚ9DÛ9DÜ9DÝ9DÞ9  Dß9
Dà9Dá9Dâ9
Dã9Dä9Då9Dæ9Dç9Dè9Dé9Dê9Dë9Dì9Dí9Dî9Dï9Dð9Dñ9Dò9Dó9Dô9Dõ9 Dö9!D÷9"Dø9#Dù9$Dú9%Dû9&Dü9'Dý9(Dþ9)Dÿ9*D:+D:,D:-D:.D:/BweVD€DB;D;D<D=D>D?D@DADBBùG`ÇDHDHBÚ7  DãDäB1CאD:CD;CB¹†$DÂDÃB¼<ŠUDÅ<DÆ<BŽID—D˜Bå;        DîDïBÇ<ŽUDÐ<DÑ<BxMDD‚Bê:®SDó:Dô:BÒ<’UDÛ<DÜ<B¶
«D¿
B¶L‚D»LBÊ!_èD×!DØ!DÙ!B‘ËDDDDDDDD   D
D    D
D
DD
DDDDDDDDDDDDDDB‰HëBÁ
¯DÊ
B¼L†DÁLBHpÇD"HD#HBÚHíB<CçDECDFCBÚ!eèDã!Dä!BÌHïBù+D
D
BÛJDäDåBÌ
³DÕ
BÂLŠDÇLBËHñBò‰%DDD
DDDB–]DŸD BˆHóB ¼bDÁDÂDÃDÄDÅDÆDÇDÈB×
·Dà
BÈLŽDÍLBÊHõBGCïDPCDQCB¤PD­D®BâAï€DëADìABâ
»Dë
BÎL’DÓLBJEöÐDSEDTEBRCóD[CD\CB¡]DªD«Bí
¿Dö
B]C÷DfCDgCBø
ÃDDB
ãD„
D†
D‡
Dˆ
D‰
DŠ
D‹
DŒ
D

D“
D”
D•
D–
D—
D˜
D™
Dš
D›
Dœ
D
Dž
DŸ
D 
BÇDD
BBÿ€DBDBB:îQD:D:BAéDnADoADpADqADrADsADtADuADvADwA     DxA
DyADzAD{A
D|AD}AD~ADAD€ADAD‚ADƒAD„AD…AD†AD‡ADˆABå!èDî!Dï!BBD"BD#BBÝ<¸UDæ<Dç<B;Gï D¼GD½GD¾GD¿GDÀGDÁGDÂGDÃGDÄGDÅG        DÆG
DÇGDÈGDÉG
DÊGDËGDÌGDÍGDÎGDÏGDÐGDÑGDÒGDÓGDÔGDÕGDÖGD×GDØGDÙGDÚGDÛGB$BD-BD.BBæy(DïDð   
SCHEMATIC1¡2PAGE5 - Display and ConnectorsPAGE4 - Bank 1 PortPAGE3 - Bank 0 and 3 and ADDAPAGE2 - Config and Bank 2PAGE1 - Basic InfoÝ©5#Ts9#Xs±'ü=#³\seœ+üA#·gœ`s¹/üE#dslœ3ü¬nœhsÁ7üã_;üÇÉõ]ç_?ü"$ù]ë_Cü&Ï(Ñý]ï_Gü*,^ó_Kü.×0÷_Oü2ÛY%¢Ã4Ýû_Sü6xu¤ÃߦÃ8á{uÿ_Wü:ãªÃ<`EÕ[ü>yLç®Ã@é`_üB°Ãë=ײÃDí`cüF\'ïA׶ÃH^'ñgüJóE׺ÃLb'õküNd'*‡÷I×¾ÃPoüRM×TsüVXwüZq'\{ü^t'`w'üby'd
ƒüfh‡üj¥L'lƒ'‹ün©Lp«Lürt¯Lvx³L;]°zG‰;¡%|I‰a°~K‰•'€7bM‰»L—'e°‚O‰9b™'„Q‰i°†'*;Ab¡'Y‰.;£'¥']‰§'4;<«'>@˜ÓLšœi‰³'žk‰µ'm‰º'q‰¼'¾'u‰À'Â'y‰µÕ·Õ°²´A¶ù!¸C…‰Eý!G‰‰½I¿¬"¿K‰ÁMìÃO‘‰ÿLÅQǬS•‰UËWY[¡‰M$¥‰M
$¶‡©‰¸‡5$7$9áO;ã$œ=å?ç$AéCë$éEíGï#$½‰Iñýóý'$bÄÁ‰õ÷ý+$ʼnýÀ`/$ɉýÄ`(ý(È`(¼b#ýÌ`¾bՉ'ý (
Ð`ى+ý$(Ô`Æ&(݉Èi/ý((Ø`ÊØ*(á‰Ìm3ýÜ`ÎØo.(å‰q7ýÒØé‰u;ý4(ÇÖwí‰É!?ý­ËÚ:(¯ÍCý<(>(³ytс)GýµÓ+}tÕKý¹×»tÙOýÛ‹¿…tÝ5SýL(Á7ÃáWýP(ÅÇKÿ[ýT(ÉNÿË_ýÍëQÿCSÿ(±Ecýñgýóký÷2±ùg(oýûSýcÿsýÿm(™vfÿo(wýiÿævq(ïékÿs({ýê¡voÿw(£výqÿî¥vy(
{(eƒýuÿ}(DˆwÿL±(‡ý(ö{ÿP±ƒ(‹ý}ÿ…(úT±‡(ý´"ÿ‰(þƒÿX±‹(¸"(‡ÿ\±(y¼"‰ÿ`±“(À"ÿ•(ÿd±—(Ä"Äv™(“ÿh±›(È"•ÿÊvÌ"™ÿÎv›ÿFd_ÒvH™Ö=Øv?Ø­(A¯(¢ÖCR±(”ØE³(UàvGËûµ(WWcI·(ävKÏû¹(M\»(Óû½(§Õû¿(×ûbîvÙûÃ(¶ÖÛûðvÅ(òvÝûÇ(6ìßûÉ(áûãûÍ(;ì4ÃåûÏ(EçûÑ(@ìéûÓ(IëûÕ(íûEìÁÙ(Û(Qu_êZì\ì%%À±aìÝPcì%
PÜØP%àØP%̱αP %>uÒ±@u$%Ô±BuÖ±Du(%رFuш­Ú±Huӈ,%Í_ܱJu±cûLu³c0%Nuµcþ®4%Ru®)ºc¼cVu®®ì±Zu
®#)^uÅc®›bu®Ÿfu,)ju¥nu qutuvuÝ&(á{u~uå€u9×é…uíˆuO)Šuñu’u`”uå<F\)eþé<H™uœuiþLžuN‘wmþ£uûSqþj)dý¦u˜#ÿ¨uduþš#dyþr)­u\d #t)°u}þ    ²u¤#y)þ{)¨#f·u…þ'i)ºu¬#k)¼u‰þĞpÁurÄuŠ)ÆuŒ)!y#H‹Îu£''•)Ðu)—)§'-›)a‰ޞ)3±'579·'¹'ª)b‹¬)?Af‹òžCÇPh‹j‹GŸK»)½)¡ÙÏ'²«U­W’²¯±[³—²Ÿ]µ·Î)œ²¹»á'eð%½ã'¡²¿xiô%Áx¦²ÃË×mø%ÅoÇ«²qü%És&°²ÍÏØ×&µ²}&$û'bý'&$ÿ'¡b&$¥býþ&#$ÿþ‹©b&'$ÿ>Ÿ­bÿ&“ë±b   ÿ( &(?vîµbð™$&(Cvò›¹b((&GvŸ¡,&Kv£¥0&¡=4&«>O¥=­8&§=BO±<&³FOµ·JO¹)*NOï‰Úò‰¿ROÁVO`xZOdx³hxlxšÆädædžÆrxY(¢Ævx[(6¦Æzx8ðdòd~xôdc(‚x†xå=Šxeo(eq(s(x’$4³–$8³˜$±Ÿœ$³Ÿ\ $_a¤$c¨$‹(L³i¬$kO³°$Q³S³—(s™(uY³[³ì]³°&ìc³´&{Úe³g³}Ú¸&­(¯(m³o³q³8:w³>y³@½({³Ûx¿(Ýx¹ÿÁ(7ßx»ÿF³áxƒ³ãxJ…³åxÁÿçxNŸÚ½*éxÅÿ‹³ëx¿*R³íxÉÿ³­ïxÃ*VÓ(¯ñxÕ(©Ú±óxZ•³³õx—³÷x^™³ùxÍ*Jì<îûxbÐ*aýx@îÿxfywywjØ*ywé(yÛ*w¾Ún  yyr
ywyyy÷(yyyý(Ñڐy’y)”y–!y#y%yž)y+y¢/y€ì¦1y^Ç3y`Ç«bÇ­fÇ}îŒì±hdzlÇnÇpǺ¾³Â·Ç¤ì»k ËƒÇm ¿o Ïq s Óu ª×ɬÛÍß)ã,.×ç0‰ Û‹ ëºîàïN+‘ |%“ ó%€%>÷‚%@„%v'ìû !‹ˆ%z'Ÿ ðŠ%$‹¡ _+fÎî~'£ ôŽ%(‹¥ Òî*‹§ P© úh+R.‹« 0‹­ ¯ ÆÇ4‹± p+6‹Èdz 8‹µ âî:‹· <‹ÝÅ        ¹ w+>‹ßÅ» d
½ {+êîgÁ ôiF‹à+ökH‹Å øòîJ‹Ç …+úL‹üöîN‹þP‹!yþî{¾yX‹%“+Z‹Ây\‹)—+^‹Æy`‹-›+b‹+ÊyŸ+¡+‹£+j‹7l‹§+n‹;p‹r‹?t‹C™ÛI¡£M*ï¯Ùu¡w¡üwy¡¡|xòy   ¡xdºÙ[¡€x¼Ù]
¡‚:ï|d¡x¿Ùai=€dcˆx´ÛG¡Š¶ÛI„dg¡xKi¡Mk¡xO¡’Qo¡”xSUsQxw
Q xÏyžÒ Q$xÔ}QÖQ(x1¡QQ,x…5¡Q¬Q0x‰®QêÙQ4xÇ´ìٍ QîÙ"Q8x‘$Q&Q<x•€í@x*Q™Dx.Q0Q2QHx¡4Q6QLx¥ž?8Q ?©C&›<Q­G&Ÿ@QӍܱK&£֍DQÜٍO&§HQۍ›S&«
ܝçbNQŸ¯PQÜ¡ëb£6³¥ïbܟ立¡ïXQ©óbÜ»\Q¦ï¨ï¿Ü`QÃ#ÜÇhQ'ÜËlQ+ÜtzvzÏpQ/ÜxzÓtQ3Ü:×=iSxQ7ÜÛ|Q<ÜßE@ÜHã„QJDÜçNHÜPëŒQLÜTïQPܔQUÜ\˜Q_YÜaœQ]ÜðeòaÜôöe܃,ø¨QúªQi܇,ü¬Qþt,y´Q¾&“,ð¸Q•,¼Q¾QîÀQ[c,ÂQ,ÄQ_cÆQŽccÊQ©,•ÒQð¡ɚ±,ÖQ³,Ã*É÷¡ÚQÅ*ÜQɆµÉ*»,¦âQÍ*¢¨_g¢ª¢N@Ã,¢®P@êQÕ*¢ìQ
¢²îQ¢Û*¢¶¢¸¢ºFöQá*¢¼H¢¾JŒŽúQå*ÀLüQç*ÂNþQé*f@Pë*Æh@R”ŽÈTÊRñ*ÌÎp@œŽ
RÐr@žŽR÷*Ò ŽRÔ¢ŽÖRTØz@Ú|@R¿µað+ÞcðRõeð⯎ƵgðR䱎†@ið+泎ˆ@kð"R
+µŽŠ@mð+ê·ŽŒ@oð&R칎ѵsð*R½Žuð+ò¿Žwð.RôÁŽyð0R+öÎ{ð2RŠîŎ}ð4Rúǎð!+Ɏð8Rþˎƒð%+͎…ð<R'+ώ‡ðю‰ð@R++ӎ‹ðBRՎðDR
׎ðَ‘ð3+ێ“ð5+ݎ•ð7+—ðNRŸPR;+¡›ð£ðTR¥Ÿð§Û<¡ðXRC+©Ý<£ðE+«ß<¶¥ð\RG+"¶§ð^Rã<`R&«ðç<­ðO+*¯ð4Û±ð.EÙ³ðµðlR2·ð¹ðpR6»ð½ðtR:BÛDÛdæ>d|RêBä@d€Ræ@FµÉ„RJCÝE݈R'NGݏ’'I݌RRKÝ –'MݐR˜'9¶VOÝ$QݔRœ'ZSÝ(UݘR '^WÝ°yYݲyœR¤'b[Ý0´y]Ý R¶y¨'f_Ý4¸yaÝ6ºy¬'õjcÝ8¦R¼y÷eݨR¾y°'ùngÝ<ªRÀyûiÝ>¬RýrkݟTÿmÝ¡Tv£T¥T´Rz§TH©TJ¸R~«TL­TN¼R‚¯T±TÀR³TµTÄR·TX¹TÈRZ»TÊR频½TÌR뢿TÎR•ÁTbÃTdð¢ò¢lnpÞRrÃâRxæRdêR}…dîRòR±ÝôRº³ÝöRµÝ¼‰¾·ÝúR¹ÝüR»ÝNþR½ÝŠfPS¿ÝÁÝÈŽfTSÊÃÝVSfÅÝÌ’fSä-ÇÝZ
SÉÝЖf\è-ËÝSÍÝÔ`ì-Ïݺ¶bSÑÝØfSÕÝÜhÀ¶S×ݶSÙÝàlSÛÝnSÝÝäßÝr"SáÝèWÊ̶tãÝ&SåÝì[ÊUçÝ*SéÝð_ÊUëÝ.SíÝ
.ô!U0SïÝHzñÝ.ø%U4SJzóÝ6SLzõÝüˆ)U8SNz÷Ý:SùÝ¿=Œ-UûÝ>SýÝ.Ã=1UÿÝI|BSÞ5UK|ÞM|FSÞÞJSNSX|RSZ|\|kzB,ozÀAZSqzF,ÂA·^S ÈJ,g|ÇAwzi|bSyzN,)k|+fSÍA·R,D.zÏAjSzV,nS…zv|Z,ÖA‡zx|rSØAÉz^,ÛA‹zvSÝAÉËÍ~S•zÏ—z‚SÓ›zÕ†S×ÙŠSÛ¡zÝŽSߥz§z’Sn.SÞ²£UÞ¶£3ešS±z¹£³zžS¼£ S·z¢SÀ£¹z.EŽ–U»zãGŽ˜UÅ£IŽuÜšU¿zÇ£ªSKŽwÜœU6gÁzÉ£¬SMŽË£®SOŽ U:gÍ£QŽ}Ü“¢UÏ£²SSŽ•Ü¤U>gÉzrÞUŽ¦UËz¶SWŽ¨U‹BgvÞYŽ›ªU”.[ŽºS¬UzÞ]ŽŸ®U’_Ž¡°UQª,~ޛ.”aŽ£SÂScŽ´U‚ÞÄSeŽ§⣶UòÆSgŽÉ¸Uò†ÞÈSiŽ«æ£ò\kŽ¼UŠÞ^ÌSmŽ¯ꣾUòoŽÖ|ŽÞí£ÐSqŽÂUòØ|­.sŽÄU’ÞuŽÔSšòªwŽÈU–ÞØSyŽ»ö£ÊU"ò{Ž½ø£ÌUšÞ}Ž¡¿ú£ÎU&òŽü£žÞàSŽÃ*òƒŽ§¤ÔUÕ¢ÞäS…ŽÇ¤ÖU.ò‡Ž¤¦ÞèS‰ŽË¤‹ŽÜ¤ÜUªÞìSŽÏ Ë
¤ÞUŽ®Þ‘ŽÓâU“ŽäU²Þ=ò•ŽæU?ò—Žè¤¼èU¶ÞøS™ŽêU›ŽÀKºÞüSŽîUŸŽNÄðU¾ÞÛ.¡ŽQ£ŽÈÂÞ¥ŽTTöU{§ŽøUW{ÆÞ©ŽTYúU{«Ž[­ŽTþU#{¯ŽV%{T±Ž  +ÖV'{³ŽØVï.T
+®eV›>ó.Ý+²e
V/{´eŸ>T+Å·¡>T%}5{'} T¥>çÊ·9{={wÏ·-Ñ·ròztòA{Ó·“î¨i,TÕ·C{V•î~ Vxò×·—î0TÙ·"V™î2TI{%$V›î4TÝ·'î6T!-M{ý8Tá·*V¡î:T,VQ{ã·£î/¥î>TÊgU{1VW{3©î3VÎg5V/-[{7­î7VÒg9V±îÖg=c{µî?VAg{¹îCVRTi{EEVk{½îVTA-IHVo{ÁîKVZTMMV\TÅîQPV`TÉîSVbTUUVdTO-{{ÍîfTÆ}{YXVÑî/[VjTU-Ê]Óî1]V¼Õî3_VnT¾aaVi+ÙîcVy}rT‰{eeV{}m+‹{ÝîgVvTixTq+jVáîÃòzT‘{mmVÅòåîÇòpV•{qˆ}éîsV‚TŠ}uŒ}íîìB†T{îB‘Ÿ{ñîðBŠT|VòBõîôB
jŽTjàTùîøBâ’T;¸úB…VýîüB–TþB^ïC`ïCžTV T        ïC¢T
C¤T
ïC¦TC¨TïCñ³)V¬Tµ)ïC V·)nß°T¹)ïC£V²Tpßrß´T¦Vï¶TøÉ™tßv߸TúÉ!ïºTüɝxßzßÙ¤þÉ%ïÊ¡|ß~ßݤÀTÊ)ï/T‘Ê¥‚ßá¤V‘Ê-ï¡/X‘Ê©„߆ßå¤ÈT
ÊÁ+1ï¥/\‘Ã+ˆßŠßé¤ÌTÊÅ+5ïÎTʌߎßí¤ÐTÊÉ+9ïÃVÊË+ß’ßñ¤ÅVÔTÊÍ+=ïʔߖßõ¤ØTÊÑ+AïËVÊÓ+˜ßšßÍVÜTEï.×+œßžßÑV0Ù+IïÓVÛ+ ßQ¢ßäTMïß+¤ßØV¦ßÚVQïêTã+¨ß“¸ªß•¸UïîTç+¬ß®ßðTé+Yï²ßôTí+]ï¥ï+´ß¶ßéVøT¥aïúTó+¸ß?*ºß¥üT?eï÷+¼ßƒ?¾ßñVUiï¥ÀßÂß7ÌUmï÷VUÄßÔÝ,;ÌXUÖÝ,qïûV?ÌÚÝuï,UyïWàÝ,U}ïWU,UïUU…ïU,WcʉïeʽfWï&U ,Áf",‘ï*U$,•ï.U(,™ï,,„ï6U0,¡ï:U4,<U¥ï>U8,:,©ï>,­ïFUî¸N*@,HUP*±ïD,LUµïNUH,¹ïRUL,½ïGWVUP,ÁïZULWýÅï^Uÿ,ÞQW.ÞÉﲐbU\,VW^,ÍïfU-           p~b,Ñï/     [W»d,G01       
:ÞÕïI03 `W<Þh,K0À5  M07    FrUl,O09  eWÅn,Q0;       vUp,jWMÊzUáCt,nWv,ΐ~U€Ui!rWz,Ò‚U#%~,DàÖwW†U'’ˆUi)ËÊáñ‚,{WŠU+JàŒUo†,WŽUNàUisð’URà”UwôTà–UVà˜U#i{ø3gXàœUü7gG¹^à UñI¹aàó¤Ucà÷¨UùhàDgjà¬UýHgÿ°Uqà´Usà¦W¶U¸UªW      ¬W°R’ÂUV’ÄU¡0ÆU†àÈU¥0ÐU!‘à#ÔU“à%ÈØU)]@-a@/c@àU1Jðg@JDäUÞ,NðèUâ,êURðä,ìUZîUVðè,2Ëê,JòZðZDöU^ðð,^DøU½     ò,úU¿  ¢¹bðbDö,zþUà       fðø,|fDÅ  ¿àVjðü,jDÉ    VnðÌ   -nDpðÏ       
V‡-‰tðÒ        VÔ        -xðV-Ù   |ð-VÜ       -”~DV€ðiÞ –V-Úà‚D„ðm-ã      †ðìÞÞà†Då     îÞ-"VŠððÞâàŠDŒðê ,&VŽðì      ôÞŽDɐ0ï       µi*Vː’ð2øÞ’Dñ        ,V͐·iŒ4C}úÞ.V¹iϐ–ð¬–D»iѐ8G}®½iӐ2V:šDՐ<K}ß.-אžDِµ@2-ې:V·¢D…ôݐD6-‡ôߐF¦D‰ôáH:-‹ô㐪Dô吲ôçFV®DéëJV²D퐗ôDñ™ôRVóºDôõVV÷Ÿôÿ¹¾Dù41ºZVû«ÂDýögÿ^VÆD`V‘bV‘ÊDdV‘®ô+
fV‘·ÎD-
hV  ‘‘0
ÒDlV
‘^½3
‘ÖDpV‘Á6
rV‘ÚD9
tV‘vV‘Ç<
ÞDxV‘>
‘GßË@
‘‘KßÏD
€V!‘“#‘OßÓH
%‘J
'‘S߈V)‘+‘WßQ
-‘S
/‘[ߐV1‘3‘”V5‘x7‘z]
˜V|_
ãôœV€„ €1l
‚1Ŧ|¨V„1n
šX~†1¬Vʦˆ1ƒ°VΦ [º´VÒ¦¶VAj$^º©¸V`ºÖ¦ºV(¼Veº¾V,gº²ÂVÊkºµÌmºÆVÎ óÊVÌVÎVÒVõÖV õÚV'õÞVÑGñ*õ®ßâVKñ-õ/õæVOñ9Æ1;hSñìVÈ1=UñîVAYñhCå[ñÐ1ç]ñ\EöVétøVëañ`EúVícñïdEñgñóhEWEÌõ€kñGÌ÷‚lEWù„oñû†pE
WýˆsñÿŠtEWŒwñŽxEW{ñ’|EWÜ
ñÖáÞ
€EØáWƒñ0Ûá„Eã
WÝá‡ñå
ˆE"Wè
˺‹ñ0ê
ŒE&Wκñy­A‘ñE*WèáÒº{¯A“ñ0ëá}±A”E.Wô
׺íá!—ñµAðá˜E%›ñ0òá¹A'œE6Wàà½A+ E:W      àÁA/¤E>WàÅA3¨EBWà.0ÉA7¬EàËA20°EJWàÏA×jõº´EàÚjÓAàÜjÕA¸Eûº×A¼EájVWÛAäjZWæjH0^WëjCbWîj)GµðjP0+fWÔ·-KÖ¹T0/jWõj®ÌÚ½øjnWÜ¿újÁàk.ÿjm.ÅäÇkq.ÉkzWès.|Wu.~W   kìy.k‚W{.k.k.¦§ŠWk….Bª§kŽW‰.®§l2’Wk<».²§p2 k>»"k‘.t2'k•.x2žWd*k™.|2,k¢W.€2¦W1k¨W¡.„24kǧªW6k€     ¬W¥.ˆ2®W°W;k©.Œ2… ²W´W?k­.2¶W¸W±.”2      ¼Wµ.˜2
¾WÀW¹.œ2?àÄW½.A 2”àÈWÁ.E¤2–àHÌWÅ.¨2šàKœàÐWÉ.¬2N àPÔWÍ°2ÖW¤àØW%ö'öFF+öJFäW.öNF;RFTòVòVFðWXòÛ0ZFôW\òß0^F`òã0bFüWç0fFXë0XjFXï0nònFó0ròrFXþ÷0vòXû0XzòXÿ0X~òX
1»‚ò‚F1†F1ŠF1”ŽF1˜’F1œ –F"1 $šF&1¤(žFˆ*#1Š¨4/¢F.'1¬¦F2+1°ªFá6/1á´®F:31ḲFá>71¼¶FáB;1ÀºF!á?1ákľFC1åkÈÂFG1ékÌÆFK1íkÐÊFO1…¨ÎFS1‡¨ÒF‰¨W1ÖF[1ÚòÚFÞòÞFÑôâFÚôÔØÚ#C%C'C )C$|
-C~
xá(€
1C*‚
|á3C,~á5C7C0‚á9CŠ
„á;C4a¼
ˆá8ŠáŽá–
k¼áGC˜
΁”áœ
ЁMC˜ás¼u¼QCœáSC؁UC á܁÷YCށ ÷[C]Câ$÷&÷¹3æcCèeC½3KóìÁ3kCOóÅ3Œ“oC.ÎôÉ3“1ÎWóIõÍ3”“5ÎØÑ3˜“{C‚`GÕ3<΂œ“‚dGÙ3 “ƒC…ChGÝ3¤“jGlGá3¨“pGå3¬“tGé3°“yóxGí3´“}ó|Gñ3¸“ ‚ó€Gõ3"‚¼“$‚…ó„Gù3&‚À“(‚q ‰óˆGý3*‚Ä“¾j,‚u óŒGw ȓG̓ГԓºCüؓ¼C GܓÀC¤GÂCÄC¨G‹÷ÈC¬G°G´G
Îl©“θG¼GšÎÀGÎŸÎ½ÄG¡Î½ÈGÌG†©p‚ÐGˆ©Š©t‚ÔGŒ©Ž©ØG©Ä ÜGÇ É àG|Yäó„‚äG†‚€YèóèG„YìóìGŽ‚TâˆYðóVâŒY”‚
E»–‚\âk4Y˜‚D`âo4”Yýóž‚dâÿós4˜Y ‚fâôw4œYjâlâô{4 Y¨‚ª.pâ ô4¤Y©
ôÄ©tâ$ƒ4ñ÷¨Yôú ó÷xâ(‡4!F¬Y´‚ô#Fô‹4°YΩ¸‚ô²Y
öЩô4´Y¼‚ô¶Yô“4-F¸YÖ©!ôºYØ©#ô—41FĂ%ô3FƂg½'ô›4…
)ô‡
+ôŸ4‰
-ô¸/ô£4ºЂ1ô¼§4¾5ôÀ«4Â؂9ôÄڂϯ4Æ=ôKFÈí€Ê8ï€)!Aôâ‚ñ€Îä‚ÏEôbDæ‚õ€!ÏÒIôfDù€ÖMô(ÏjDý€*ÏÚÿ€Qô·ânDÞ7ørDàâ:øvD       <øæ]ôzD
AøêaôCø~DìEøîeôdH‚DÄ
škòiôhHÀä†DömôlHŠDøúqôpHŽDü!\!þ#uôtH^!’D%ƒ'yôxH–D)ƒ+}ô|HšD-
/ô€HžD13…ôƽ„H¢D5Ƚ7‰ôˆH¦D9„;ôŒHªD‘ôH®D•ô”H²D¬™ô˜H§F¶D°œHºD H¾D¢ôùä¸ûä¤HÂD‘!3¾©ô¨H•!­ô¬Hå»F@1åâk™!B1±ô°H¿F<ÁF>!ʵô)5åB¡!ιô-5”ÏD£!Få½ô15Áô55aƒ"Åô95eƒ¸ö±!Éô=5iƒ åTÙFV#åÍôA5mƒX%åÖZÑôE5qƒ(åØ\*åÚ^ÕôI5uƒ,åÜ`ÞbÙôM5à1åéFÝôQ5=áôU58å@åôY5'¾D?åFéô]5AåùFíôa5ºÑEåLNñôe5§ª¾ÑPªªRõôi5ÂÑNå­ªt
ùôm5„ÆÑX°ª       G†x
Zýôq5T峪ÊÑz
V嶪õŒÎюºª€
õ¼ª‘ð!„
“ õò!•ô!ˆ
—
õö!õø!šŒ
ú!œõü!ɪž
þ! ̪õ"¢-p”
¤ºƒõѪ¦¨õÕª-G/G(#õGnþÏ*,Ð'õ.Ð(I0+õ",Iš΃…å/õ"œ‡å0I"ž?G83õ2I" 4I"¢”å7õ¤>–8I""¦@;õ$"¨BÇ<IªKGÉ?õ>I¬Ë@I®HÍCõ°ÏDI²ÑGõ´NÓHI¶P™¼ÕKõ¸LI[GTOõV\Ãá\Í5`å\Ï5bqp>ùÑ5spé\Ó5AùfupÕ5Dùí\×5jÒÙ5Gùlñ\ÔÛ5n}pJùÖÝ5õ\€pØr‚pÚtÅåù\„pÜvÇåû\Þxý\àÿ]Œp]„     ]åã†
]Š]Ǿ]ɾ]‚ s"½l] -„”ëÁl]/„³n–í]˜ï{"Ål]ñ}"5„£Gœó"õ"§G ƒ"I‚%       ;„…"K‚‡"‰"Ånš C„
G„

M„
O„²IS„U„¶IA]Y„ÇGºI[„ÉGE]Óp¾I_„I]Õpa„×pÒÂIc„M]O]‘ÒÆIQ]S    U     ÊIU]W    m„Y        ÎIY]×[       q„Ù]   ÒI]]¼"Û_        u„¿"a   ÖIa]Á"c]y„«Ã"|0ÚI9{„e]’«g]}„ÞI—«âIƒ„ñG™«…„æIq]ds  s]@æu    êI‹„BæùGu]hw        y     îIýGy]l{   ‘„}       òI“„HKæp     Mæ       öIHOætƒ  ™„Qæ… œ0úI›„Sæ‡       ØÐUæ‰ ‚4ÚÐWæ‹    ¡„Yæ £„ÞÐ[æ   ¥„]æ‘ §„H_æãГ   aæq•       ¬0HæÐ!qcæ—        ®0­„@mèÐeæ™      °0¯„gæ›        ²0ú"iæ ´0kæöùŸ    ¶0mæ¡      ¸0oæº0ôÐqæ!¼0öÐsæ#¾0ÿùøÐ-HúÐú'Â0)­]ú1Hӂ+Æ0ú±]5H/Ê0úµ]ú·      Î0¹]ú7Ò0»]½]Ö0=Á]Ú0لŸäAÅ]ۄ¡ä™úC݄.ø›EÉ]߄GᄟÍ]ㄩä¡K£Ï]å„MÑ]焥O§Ó]¯äÕ]±ä©D!5#³ä«Ù]µä­Wñ„·ä¯±Ý]ó„¹äõ„ß]»ä³kqµá]÷„nqù„¿ä·å]pqû„¹ž¿rqý„Ãäeé]Åä ¿¢¿aJiÉ䦿^!eJm¨¿ª¿iJq®¿ÓämJuÕäqJyuJ……yJ……ãäö…åä!…ƒö#…PÓ%…RӇö'…r#)…‰JeÑ‹öt#+…ñägÑ-…óäJ 3ö/…Hõä]Ó1…#3‘J{#“ö3…%3}#5…•J—ö€#7…ýäeӂ#9…$
™J·F;…©Hå…#=…J‡#»FmÓ¡J¿FY¬[¬¥J]¬_¬©Ja¬”#[ƒc¬­J]ƒe¬O…š#Q…g¬±JS…ÁHU1ÃHW1µJ #[1¹JѦ#]…O3_1½JòmÕS3c1ÁJ¬#W3g1ÅJY3ÉJ]3¶#_3ÍJ%ç'çc3ÑJ¼# Óg3ÕJ¢ÓÀ#k3ÙJ4çÝJx\8çáJ|\åJ€\éJ„\ÜøÞøíJˆ\àøÚ#ñJŒ\äøÞ#Ž\õJ\èø’\u”\–\yê#š\}ž\î#‘
ƒ’^¢\•
‡–^I˜^™
‹²…ž^‘“—§^›ª^/I¬^Ÿ®^3I¡°^5I£²^´^§_nan¹^«»^­©½^±       ©æƒÔÂ^
©Ô©¢å©Ê^"û¦å¼$û©Î^ä…&ûÒ^*û®åꅰåYIÖ^.û0û]IÚ^ð…2û¶å4ûaI6ûú…þ…ê^î^ˆp†uIŒphK
†æ†lK†êpK†îtK†ò†ö††úI
6­–8­þ:­
>­@­
B­,†D­

IF­
f©¡IJ­
h©£IÈnL­6†N­
P­
„$R­†$T­
ˆ$V­@†
¯IŠ$mÔZ­ 
³I\­F†˜ù^­H†$
¯ 8·Išùb­L†(
žùN†*
f­P†¢ùh­.
‡©j­0
N]l­@_V†n­B_X†4
R]D_Z†r­8
ËIV]t­H_^†J_`†o„<
Z]L_q„N_@
^]P_R_D
É÷b]ÉKT_l†H
Í÷f]êGÍKX_n††­p†'èL
j]îGÑK\_§©t†+èP
n]òGÕK`_-è/èT
çIr]öGÙKd_1èf_3èX
ëIv]ÝKh_5èij_7è!Áz]áKl_9èmn_;èåKp_=èÂÒ?èr_÷IÄÒéKt_AèÆÒv_CèíKx_EèÊÒz_GèñK|_IèÎÒ~_KèÈ©JõK€_Mè‚_OèÌ©ùK„_Qè†_Sè/oØÒÑ©ýKˆ_Uè1oÚÒÓ©Š_WèLŒ_Y躭Ž_[èJ!¼­L_]è’__èãÒJ!      Lª†aèåÒÁ­Jcèí!
L®†eègè!L²†ièÊ­kèïÒÌ­LmèoèLqèÑ­sè÷ÒÓ­LuèùÒwè†æ!Lyè{èŠæ%L}èèŽæ)Lè9Jƒè’æ-L…è‡è–æ1L‰è‹è        ªèÁ_EJªè‘èIJ™QsÉ_žW[Ð_¤"Ó_&Óû„×_#ªß_ç_Rúï_Vú+¬;ªZú?ª^ú}JCªbúdú§o…J«o‰J¯o”sJ³o–s#‡‘J“J'‡q%`u%¡s&^¤sy%*^¦s}%.^2^6^‡%‰%‹%% ¤Lºs³J%‘%$¨L“%&•%¬LÂs—%*™%°L¿J.´LÃJ¸L¼LÀL"ÄL™ª&ÈLª*ÌL¡ª.ôqÐL¥ª2øqÔL……6üq‡…ØLçJéJ:f`r“®ÜL>r—®àLè!BräLì!õJ(ÂFrèLð!JrìLô!rNðLø!RôLü!\çøL"`çüL"dçÿøM"hçùí%!IM"ï%`lçùK tM"ó%”`ù tõ%M"t"ùù%hé"û%'tùù" `ñÓù¢`óÓ "ù)K½‡$"ù("#ù¯'ù³Â^+ù 9·-ùÆ^/ù»Ê^3ùÎ^7ùÒ^;ù?ùCùGù|pKù?ûOù]KCûSùaKcK?&GûWùmtKû[ùptrtOû_ùH&ÜJ&oK†SûÞcùL&N&ˆsKWûâgùP&äR&
ˆt[ûkùT&èV&_ûêX&ìZ&cûîð^&gûò`&kûoûM¤rsûƒM¨r_ÔaÔwû‡M(ˆ¬r
eÔ{û‹M,ˆ°rgÔ
ûM0ˆ´r
¶rƒû“M¸r
ºr‡û—M#
‹û›M'
ûŸM“û£M³K—ûþé§M·K›ûê¹K«MŸû¯M£û³Ml¯§û·Mo¯ÉK»Ms¯¿M.5:x¯ÃM^_~¯ÇM€¯ßt‚¯át2èf_h_†¯Š¯n_Cp_Ž¯éK’¯v_–¯š¯õKž¯¸Öœ†ºÖ\ž†¼Ö`ÀÖdÄÖhÈÖÌÖÐÖ)u+!+u-!-u1!3!'%#7!)#Ÿ¡;!-#Ls¥1#§Ps
CRZQM
LJ'STUDOrCAD Windows Design           jùÏL÷£M÷ÿÿÿ"Arial¼†L_è'£÷ÿÿÿ1Courier New÷ÿÿÿ"Arialóÿÿÿ"Arial¯0Ú¯0鯘ç¯På¯ à¯xä¯íÿÿÿ1Courier New8c±hc±d±˜g±8d±÷ÿÿÿ1Courier NewSIGN1.DSN|SCHEMATIC1íÿÿÿ1Courier NewÞ¹pݹÐܹ¸Ù¹عãÿÿÿ
"ArialŸx^ åŸˆäŸðâŸؤŸ˜âŸÝÿÿÿ1Courier NewÝÿÿÿ1Courier NewN|SCHEMATIC1|PAGE1ûÿÿÿ1Courier NewKÅ0KÅ`KÅP%®€%®ûÿÿÿ1Courier Newãÿÿÿ1Courier Newãÿÿÿ1Courier NewÛÿÿÿ1Courier New1ST PART FIELD2ND PART FIELD3RD PART FIELD4TH PART FIELD5TH PART FIELD6TH PART FIELD7TH PART FIELD
PCB Footprintddd00qTitleBlock0
Page Count1Page Number  Page SizeATitleRevCode?DocPage Create Date    March  8, 1995Page Modify Date1C:\PROGRAM FILES\ORCAD\CAPTURE\LIBRARY\CAPSYM.OLB      Cage CodeOrgNameOrgAddr1OrgAddr2OrgAddr3OrgAddr4       TITLE_ICZAuthorC:\LIBRARY\ORCAD9X\SYMBOLS.OLB1.00MIHOPart ReferenceValue&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.OLBXC3S50AN-4 TQG144 C
PCB FootprintTQFP144Device&C:\LIBRARY\ORCAD9X\XILINX\SPARTAN3.DSN
S.ICZ a.s.J.S.Baara 40Ceske Budejovice370 01Czech RepublicXC9500Library ReferenceSPARTAN3XC3S50AN-4TQG144CFilenameXOSCC:\LIBRARY\ORCAD9X\COM_XTAL.OLBSG8002GNDVDDNameVDD_3v3VDD_1v2VDD_5VVDD_1V2VDD_3V3CC:\LIBRARY\ORCAD9X\COM_RLC.OLBC0805100nFJUMP2X3C:\LIBRARY\ORCAD9X\JUMP.OLBR-4B       R-4B-1205330OFF_PAGE_OUT_RM2M1M0
OFF_PAGE_IN_L       T-FET-GSDC:\LIBRARY\ORCAD9X\COM_T.OLBSOT23      2N7000SMDDONERR0805100PADC:\LIBRARY\ORCAD9X\PAD.OLBHOLE_M3JUMP9JUMP2X9JUMP9_X4_X5_X9*C:\LIBRARY\ORCAD9X\XILINX\XILINX_CABLE.OLBJUMP9_X3_X5_X8TCKTMSTDITDO
OFF_PAGE_IN_RPROG4k7390LEDC:\LIBRARY\ORCAD9X\COM_OPTO.OLBLED3LED3mm
LED3mm_REDFIDU
FIDU_PASTELED0LED1LED2LED4LED5LED6LED7DIPSW8C:\LIBRARY\ORCAD9X\DIPSW.OLBDIPSW0DIPSW1DIPSW2DIPSW3DIPSW4DIPSW5DIPSW6DIPSW7   CLK100MHzVS0VS2VS1PB4PINC:\LIBRARY\ORCAD9X\COM_SW.OLBPUSH050x050PB0PB1PB2PB3JUMP2802820120510270VGA_R1VGA_R0VGA_VSVGA_HSMINIDIN6C:\LIBRARY\ORCAD9X\COM_CON.OLBMINIDIN6_PS2DB15F_3LDB15F_3L_90VGA_REDVGA_G0VGA_G1VGA_B0VGA_B1        VGA_GREENVGA_BLUED:\MIHO\MLAB_FPGA\S3AN01A.DSN
DB15F_3L_0
TITLE_MLAB0.0MLABS3AN01A0.00D:\MLAB_FPGA\S3AN01A.DSNSO8_150D:\MLAB_FPGA\S3AN01A.OLBAT45DBxxxD-SSH-BSPI_DISPI_CLKSPI_CS#SPI_DOAT45DB011D-SSH-BAT25DF0xxA-SSH4LED7_12PIN_14_2      HD-M514RDT-BECBC856SMDLD_A3#LD_A2#LD_A1#LD_A0#LD_ALD_BLD_CLD_DLD_ELD_FLD_GLD_DPLD_0#LD_1#LD_2#LD_3#SPI_LDCD:\MIHO\MLAB_FPGA\S3AN01A.OLBSPI_WPSPI_WP#SO8_210AT45DB011D-SH-BLD_4#LD_5#LD_6#LD_7#SST24LF040A-33-4C-S2AE   FT-M514RD     SATA_DATASD1APSD1ANSD1BNSD1BPSD2ANSD2APSD2BPSD2BN0423     VDD_BANK1JUMP2X8TO263C:\LIBRARY\ORCAD9X\POWER.OLBLM1086SC-ELYTELYTBC-ELYTB       10uF/6.3VTO252     LM1117DTX
TS1117BCP12R0
AP1086K33G-13     22uF/6.3V0RJUMP1JUMP2X12B1_0B1_00B1_01B1_02B1_03B1_04B1_05B1_06B1_07B1_08B1_09B1_10B1_11B1_12B1_13B1_14B1_15B1_16B1_17B1_18B1_19B1_20JUMP2X20B1_21JUMP2X4B1_22B1_23xJUMP1BS170SMD PS2_DATA1     PS2_DATA2PS2_CLK2PS2_CLK1I2C_CLKI2C_DATAI2C_SCLI2C_SDA#4k7JUMP4JUMP2X22JUMP20TPTPSJUMP2X2
SSOIII_48_300C:\LIBRARY\ORCAD9X\TI\GATES.OLBSN74LVC16244ADLJUMP2X1610kJUMP22JUMP10JUMP2X10R0603100kSOT23-5C:\LIBRARY\ORCAD9X\AMP.OLBMCP6546T-I/OTG3k34n7ANA_INANA_REFANA_OUTDANA_OUTANA_INDANA_REFOANA_REFDDC:\LIBRARY\ORCAD9X\COM_D.OLBDO2011N5820BC856BC8563
MCP6546T-E/OTMCP6001T-I/OTG
MCP6001T-I/OTCFPS-73-100M51.01LD_CA4#LD_CA5#LD_CA6#LD_CA7#LD_CA0#LD_CA1#LD_CA2#LD_CA3#LD_SEG0#LD_SEG1#LD_SEG2#LD_SEG3#LD_SEG4#LD_SEG5#LD_SEG6#LD_SEG7#LD_SEG0#LD_ALD_SEG1#LD_BLD_SEG2#LD_C
LD_SEG3# LD_D
LD_SEG4# LD_E
LD_SEG5# LD_F
LD_SEG6# LD_GLD_SEG7# LD_DP
LD_SEG2# LD_CS3AN01B LD_SEG0#r     LD_SEG1#r     LD_SEG2#r     LD_SEG3#r     LD_SEG4#r     LD_SEG5#r     LD_SEG6#r     LD_SEG7#r10nF1k2P15P14P13P12P11P10P9P8P7P6P5P4P3P2P1P0P16P17P18P19P20P21P22P23#JUMP1
#SATA_DATAþL
SCHEMATIC1Symbols°zlÞøËà”mÞøË$Types$ÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿGraphicsÿÿÿÿÿÿÿÿ°zlÞøËÀì,mÞøË$Types$ÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿPackages°zlÞøË°zlÞøËDB15F_3L_0ÿÿÿÿÿÿÿÿÿÿÿÿÀExportBlocksÿÿÿÿÿÿÿÿ°zlÞøË°zlÞøËCells Directory ÿÿÿÿÿÿÿÿÿÿÿÿ2).Normal0-* ((
'*)'*” š * ’(š'™(–)'™ )(
(–)›)22))  +++ )

+&*+04+0 4))((+DH+NR+N R+bf+lp+€„+ŠŽ+Š Ž)PP)nn)ŒŒ)FF)dd)‚‚)))<4)<<)––)–Ž)xx)xp)ZR)ZZ+l p( 1öÿÿÿ!S22öÿÿÿ2!S
3PöÿÿÿP!S4nöÿÿÿn!Q6
öÿÿÿ
!R7(öÿÿÿ(!Á8FöÿÿÿF!C9döÿÿÿd!B10‚öÿÿÿ‚!S11öÿÿÿ!S12<öÿÿÿ<!W13ZöÿÿÿZ!S14xöÿÿÿx!W15–öÿÿÿ–!S16(–2–!S17(
2
!5ŒöÿÿÿŒ!'ÿÿöÿ0r'ÿÿ 0eJ
DB15F_3L_0JDB15F_3L_90 ÿÿ
DB15F_3L_01234678910111213141516175÷£M
DB15F_3L_00ô±>ˆËÀw!F‚˜Ë÷£MDB15F_3L_0.Normal0ô±>ˆËÀw!F‚˜Ë÷£M
SCHEMATIC1    °â§’‹zËá‘0ïøË÷£M÷£M÷£M
DB15F_3L_00ô±>ˆË |(F‚˜ËParts Directory ÿÿÿÿÿÿÿÿ30Views Directory ÿÿÿÿ4)Symbols Directory$ÿÿÿÿÿÿÿÿ5Graphics Directory&ÿÿÿÿÿÿÿÿÿÿÿÿ6Packages Directory&ÿÿÿÿ7)ExportBlocks Directory.ÿÿÿÿÿÿÿÿÿÿÿÿ8ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ÷£M = ÿÿ0. V2 UxVsimnete si prosim, ze obvody rady
Spartan 3A nemaji ochrannou diodu mezi
vstupem a jakymkoli kladnym napajecim
napetim.=ó²0=ÿÿ0.ÄԐ9Do not forget that Spartan 3A device is not
5V tollerant!=ó¶0ô=ÿÿ0.ô˜`ôkPlease notice that Spartan 3A device does
not have diodes from any I/O pin to VCCO
or any other power rail.=ó¼0<=ÿÿ0.<V€<LNezapomente, ze obvody rady Spartan 3A
nejmaji vstupy odolne vuci napeti 5V.