Rev Age Author Path Log message Diff
4608 2930 d 12 h kaklik / uprava modelu. Diff
3690 3609 d 11 h kaklik / presunuti zdrojaku ke generatoru pulzu. Diff
3243 3957 d 2 h kaklik /Modules/CPLD_FPGA/ uprava jmenne konvence projektovych slozek. Diff
2534 4284 d 8 h kakl /Modules/CPLD_FPGA/S3AN01B/VHDL/PulseGen/src/ Pridano generovani baliku pulzu a prepinatelna opakovaci frekvence na DOPSW. Vynulovani na TL. Diff
2533 4284 d 8 h kakl /Modules/CPLD_FPGA/S3AN01B/VHDL/PulseGen/ Pridano automaticke verzovani. Diff
2528 4288 d 23 h kakl /Modules/CPLD_FPGA/S3AN01B/VHDL/PulseGen/ Pulzni generator.
Prvni funkcni verze.
Prekryvajici se impulzy 10ns az 2us.
Opakovaci frekvence cca 1,6kHz.
Diff