Rev Age Author Path Log message Diff
4608 2924 d 20 h kaklik / uprava modelu. Diff
3690 3603 d 18 h kaklik / presunuti zdrojaku ke generatoru pulzu. Diff
3243 3951 d 9 h kaklik /Modules/CPLD_FPGA/ uprava jmenne konvence projektovych slozek. Diff
2534 4278 d 16 h kakl /Modules/CPLD_FPGA/S3AN01B/VHDL/PulseGen/src/ Pridano generovani baliku pulzu a prepinatelna opakovaci frekvence na DOPSW. Vynulovani na TL. Diff
2533 4278 d 16 h kakl /Modules/CPLD_FPGA/S3AN01B/VHDL/PulseGen/ Pridano automaticke verzovani. Diff
2528 4283 d 7 h kakl /Modules/CPLD_FPGA/S3AN01B/VHDL/PulseGen/ Pulzni generator.
Prvni funkcni verze.
Prekryvajici se impulzy 10ns az 2us.
Opakovaci frekvence cca 1,6kHz.
Diff