Rev Author Line No. Line
1045 jacho 1 #include "C:\Users\Honza\Documents\pic\tomas\main.h"
2  
3 #define LCD_RS PIN_C7 // rizeni registru LCD displeje <lcd.c>
4 #define LCD_E PIN_B7 // enable LCD displeje <lcd.c>
5 #define LCD_D0 PIN_B6 // data LCD <lcd.c>
6 #define LCD_D1 PIN_B5
7 #define LCD_D2 PIN_B4
8 #define LCD_D3 PIN_D4
9 #include "LCD.C" //pro LCD
10  
11 int1 value=0;
12  
13 #INT_EXT //preruseni od RB0
14 void EXT_isr(void)
15 {
16  
17 value=~value;
18  
19 }
20  
21  
22  
23  
24  
25  
26  
27 void main()
28 {
29 double value1, value2;
30 enable_interrupts(INT_EXT);
31 enable_interrupts(GLOBAL);
32 ext_int_edge(H_TO_L);
33 setup_adc_ports(PIN_A0);
34 setup_adc_ports(PIN_A1);
35 setup_adc(ADC_CLOCK_DIV_8);
36  
37  
38  
39  
40 setup_spi(SPI_SS_DISABLED);
41 setup_timer_0(RTCC_INTERNAL|RTCC_DIV_1);
42 setup_timer_1(T1_DISABLED);
43 setup_timer_2(T2_DISABLED,0,1);
44 setup_ccp1(CCP_OFF);
45 setup_comparator(NC_NC_NC_NC);
46 setup_oscillator(OSC_8MHZ);
47  
48 lcd_init(); //inicializuje LCD
49  
50 LCD_gotoxy(1,1); //na LCD 1bunka 1øadek
51 printf(lcd_putc,"Tomas Pavlicek");
52 LCD_gotoxy(1,2);
53 printf(lcd_putc,"CVUT 2012/2013");
54 Delay_ms(1000);
55  
56 while(true)
57 {
58 lcd_init(); //inicializuje LCD
59 set_adc_channel(0);
60 read_adc(ADC_START_ONLY); // Spustime A/D prevod
61 Delay_ms(1);
62 while(!adc_done()); // Cekame na dokonceni prevodu
63 value1=read_adc(); // Precteme hodnotu z prevodniku
64 //Delay_ms(100);
65 set_adc_channel(1);
66 read_adc(ADC_START_ONLY); // Spustime A/D prevod
67 Delay_ms(1);
68 while(!adc_done()); // Cekame na dokonceni prevodu
69 value2=read_adc(); // Precteme hodnotu z prevodniku
70  
71  
72 if(value==1)
73 {
74 LCD_gotoxy(1,1); //na LCD 1bunka 1øadek
75 printf(lcd_putc,"Napeti:%5.3f V", value1);;
76 LCD_gotoxy(1,2);
77 printf(lcd_putc,"Proud:%5.3f I", value2);
78  
79 }
80 else
81 {
82 LCD_gotoxy(1,1); //na LCD 1bunka 1øadek
83 printf(lcd_putc,"Napeti:%5.3f V", value1);;
84 LCD_gotoxy(1,2);
85 printf(lcd_putc,"Naboj:%5.3f I", value2);
86  
87 }
88 Delay_ms(500);
89 }
90 }
91